Part Number Hot Search : 
76152E3 RGP10KA MGT801 GALI5 GK03N HT9214AL 68HC9 ARL752
Product Description
Full Text Search
 

To Download EP2SGX130G Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 Section I. Stratix II GX Device Data Sheet
This section provides designers with the data sheet specifications for Stratix(R) II GX devices. They contain feature definitions of the transceivers, internal architecture, configuration, and JTAG boundary-scan testing information, DC operating conditions, AC timing parameters, a reference to power consumption, and ordering information for Stratix II GX devices. This section includes the following chapters:

Chapter 1, Introduction Chapter 2, Stratix II GX Architecture Chapter 3, Configuration & Testing Chapter 4, DC and Switching Characteristics Chapter 5, Reference and Ordering Information
Revision History
Refer to each chapter for its own specific revision history. For information on when each chapter was updated, refer to the Chapter Revision Dates section, which appears in the full handbook.
Altera Corporation
Section I-1
Stratix II GX Device Data Sheet
Stratix II GX Device Handbook, Volume 1
Section I-2
Altera Corporation
1. Introduction
SIIGX51001-1.6
The Stratix(R) II GX family of devices is Altera's third generation of FPGAs to combine high-speed serial transceivers with a scalable, high-performance logic array. Stratix II GX devices include 4 to 20 high-speed transceiver channels, each incorporating clock and data recovery unit (CRU) technology and embedded SERDES capability at data rates of up to 6.375 gigabits per second (Gbps). The transceivers are grouped into four-channel transceiver blocks and are designed for low power consumption and small die size. The Stratix II GX FPGA technology is built upon the Stratix II architecture and offers a 1.2-V logic array with unmatched performance, flexibility, and time-to-market capabilities. This scalable, high-performance architecture makes Stratix II GX devices ideal for high-speed backplane interface, chip-to-chip, and communications protocol-bridging applications.
Features
This section lists the Stratix II GX device features.
Main device features: TriMatrix memory consisting of three RAM block sizes to implement true dual-port memory and first-in first-out (FIFO) buffers with performance up to 550 MHz Up to 16 global clock networks with up to 32 regional clock networks per device region High-speed DSP blocks provide dedicated implementation of multipliers (at up to 450 MHz), multiply-accumulate functions, and finite impulse response (FIR) filters Up to four enhanced PLLs per device provide spread spectrum, programmable bandwidth, clock switch-over, real-time PLL reconfiguration, and advanced multiplication and phase shifting Support for numerous single-ended and differential I/O standards High-speed source-synchronous differential I/O support on up to 71 channels Support for source-synchronous bus standards, including SPI-4 Phase 2 (POS-PHY Level 4), SFI-4.1, XSBI, UTOPIA IV, NPSI, and CSIX-L1 Support for high-speed external memory, including quad data rate (QDR and QDRII) SRAM, double data rate (DDR and DDR2) SDRAM, and single data rate (SDR) SDRAM
Altera Corporation October 2007
1-1
Features

Support for multiple intellectual property megafunctions from Altera(R) MegaCore(R) functions and Altera Megafunction Partners Program (AMPPSM) megafunctions Support for design security using configuration bitstream encryption Support for remote configuration updates
Transceiver block features: High-speed serial transceiver channels with clock data recovery (CDR) provide 600-megabits per second (Mbps) to 6.375-Gbps full-duplex transceiver operation per channel Devices available with 4, 8, 12, 16, or 20 high-speed serial transceiver channels providing up to 255 Gbps of serial bandwidth (full duplex) Dynamically programmable voltage output differential (VOD) and pre-emphasis settings for improved signal integrity Support for CDR-based serial protocols, including PCI Express, Gigabit Ethernet, SDI, Altera's SerialLite II, XAUI, CEI-6G, CPRI, Serial RapidIO, SONET/SDH Dynamic reconfiguration of transceiver channels to switch between multiple protocols and data rates Individual transmitter and receiver channel power-down capability for reduced power consumption during non-operation Adaptive equalization (AEQ) capability at the receiver to compensate for changing link characteristics Selectable on-chip termination resistors (100, 120, or 150 ) for improved signal integrity on a variety of transmission media Programmable transceiver-to-FPGA interface with support for 8-, 10-, 16-, 20-, 32-, and 40-bit wide data transfer 1.2- and 1.5-V pseudo current mode logic (PCML) for 600 Mbps to 6.375 Gbps (AC coupling) Receiver indicator for loss of signal (available only in PIPE mode) Built-in self test (BIST) Hot socketing for hot plug-in or hot swap and power sequencing support without the use of external devices Rate matcher, byte-reordering, bit-reordering, pattern detector, and word aligner support programmable patterns Dedicated circuitry that is compliant with PIPE, XAUI, and GIGE Built-in byte ordering so that a frame or packet always starts in a known byte lane Transmitters with two PLL inputs for each transceiver block with independent clock dividers to provide varying clock rates on each of its transmitters
1-2 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Introduction

8B/10B encoder and decoder perform 8-bit to 10-bit encoding and 10-bit to 8-bit decoding Phase compensation FIFO buffer performs clock domain translation between the transceiver block and the logic array Receiver FIFO resynchronizes the received data with the local reference clock Channel aligner compliant with XAUI
f
Certain transceiver blocks can be bypassed. Refer to the Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook for more details. Table 1-1 lists the Stratix II GX device features.
Table 1-1. Stratix II GX Device Features (Part 1 of 2) EP2SGX30C/D Feature C
ALMs Equivalent LEs Transceiver channels Transceiver data rate Source-synchronous receive channels (1) Source-synchronous transmit channels M512 RAM blocks (32 x 18 bits) M4K RAM blocks (128 x 36 bits) M-RAM blocks (4K x 144 bits) Total RAM bits Embedded multipliers (18 x 18) DSP blocks PLLs Maximum user I/O pins 4
EP2SGX60C/D/E C D
24,176 60,440
EP2SGX90E/F E
36,384 90,960
EP2SGX130/G G
53,016 132,540
D
13,552 33,880 8
E
F
4
8
12
12
16
20 600 Mbps to 6.375 Gbps 73 71 699 609 6 6,747,840 252 63 8
600 Mbps to 6.375 Gbps 31 29 202 144 1 1,369,728 64 16 4 361
600 Mbps to 6.375 Gbps 31 29 31 29 329 255 2 2,544,192 144 36 4 364 4 364 8 534 42 42
600 Mbps to 6.375 Gbps 47 45 488 408 4 4,520,448 192 48 8 558 650 59 59
734
Altera Corporation October 2007
1-3 Stratix II GX Device Handbook, Volume 1
Features
Table 1-1. Stratix II GX Device Features (Part 2 of 2) EP2SGX30C/D Feature C
Package
EP2SGX60C/D/E C D E
EP2SGX90E/F E
1,152-pin FineLine BGA
EP2SGX130/G G
1,508-pin FineLine BGA
D
F
1,508-pin FineLine BGA
780-pin FineLine BGA
780-pin 1,152-pin FineLine BGA FineLine BGA
Note to Table 1-1:
(1) Includes two sets of dual-purpose differential pins that can be used as two additional channels for the differential receiver or differential clock inputs.
Stratix II GX devices are available in space-saving FineLine BGA packages (refer to Table 1-2). All Stratix II GX devices support vertical migration within the same package. Vertical migration means that you can migrate to devices whose dedicated pins, configuration pins, and power pins are the same for a given package across device densities. For I/O pin migration across densities, you must cross-reference the available I/O pins using the device pin-outs for all planned densities of a given package type to identify which I/O pins are migratable. Table 1-3 lists the Stratix II GX device package sizes.
Table 1-2. Stratix II GX Package Options (Pin Counts and Transceiver Channels) Source-Synchronous Channels Device Transceiver Channels Receive (1)
EP2SGX30C EP2SGX60C EP2SGX30D EP2SGX60D EP2SGX60E EP2SGX90E EP2SGX90F EP2SGX130G Note to Table 1-2:
(1) Includes two differential clock inputs that can also be used as two additional channels for the differential receiver.
Maximum User I/O Pin Count 780-Pin FineLine BGA (29 mm)
361 364 361 364 -- -- -- --
Transmit
29 29 29 29 42 45 59 71
1,152-Pin FineLine BGA (35 mm)
-- -- -- -- 534 558 -- --
1,508-Pin FineLine BGA (40 mm)
-- -- -- -- -- -- 650 734
4 4 8 8 12 12 16 20
31 31 31 31 42 47 59 73
1-4 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Introduction
Table 1-3. Stratix II GX FineLine BGA Package Sizes Dimension
Pitch (mm) Area (mm2)
780 Pins
1.00 841 29 x 29
1,152 Pins
1.00 1,225 35 x 35
1,508 Pins
1.00 1,600 40 x 40
Length width (mm x mm)
Referenced Document Document Revision History
This chapter references the following document:
Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook
Table 1-4 shows the revision history for this chapter.
Table 1-4. Document Revision History Date and Document Version
October 2007, v1.6
Changes Made
Updated "Features" section. Minor text edits.
Summary of Changes
August 2007, v1.5
Added "Referenced Documents" section. Minor text edits.
February 2007, v1.4

Changed 622 Mbps to 600 Mbps on page 1-2 and Table 1-1. Deleted "DC coupling" from the Transceiver Block Features list. Changed 4 to 6 in the PLLs row (columns 3 and 4) of Table 1-1. Added support information for the Stratix II GX device.
Added the "Document Revision History" section to this chapter. June 2006, v1.3 April 2006, v1.2 February 2006, v1.1 October 2005 v1.0

Updated Table 1-2. Updated Table 1-1. Updated Table 1-2. Updated Table 1-1. Updated numbers for receiver channels and user I/O pin counts in Table 1-2.
Added chapter to the Stratix II GX Device Handbook.
Altera Corporation October 2007
1-5 Stratix II GX Device Handbook, Volume 1
Document Revision History
1-6 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
2. Stratix II GX Architecture
SIIGX51003-2.2
Transceivers
Stratix(R) II GX devices incorporate dedicated embedded circuitry on the right side of the device, which contains up to 20 high-speed 6.375-Gbps serial transceiver channels. Each Stratix II GX transceiver block contains four full-duplex channels and supporting logic to transmit and receive high-speed serial data streams. The transceivers deliver bidirectional point-to-point data transmissions, with up to 51 Gbps (6.375 Gbps per channel) of full-duplex data transmission per transceiver block. Figure 2-1 shows the function blocks that make up a transceiver channel within the Stratix II GX device.
Figure 2-1. Stratix II GX Transceiver Block Diagram
PMA Analog Section n Deserializer (1) Clock Recovery Unit PCS Digital Section FPGA Fabric
Word Aligner Rate Matcher XAUI Lane Deskew 8B/10B Decoder Phase Compensation FIFO Buffer m (2)
Byte Deserializer
Byte Ordering
Reference Clock
Receiver PLL
Reference Clock
Transmitter PLL
n Serializer (1) 8B/10B Encoder Byte Serializer Phase Compensation FIFO Buffer m (2)
Notes to Figure 2-1:
(1) (2) n represents the number of bits in each word that need to be serialized by the transmitter portion of the PMA or have been deserialized by the receiver portion of the PMA. n = 8, 10, 16, or 20. m represents the number of bits in the word that pass between the FPGA logic and the PCS portion of the transceiver. m = 8, 10, 16, 20, 32, or 40.
Transceivers within each block are independent and have their own set of dividers. Therefore, each transceiver can operate at different frequencies. Each block can select from two reference clocks to provide two clock domains that each transceiver can select from.
Altera Corporation October 2007
2-1
Transceivers
There are up to 20 transceiver channels available on a single Stratix II GX device. Table 2-1 shows the number of transceiver channels and their serial bandwidth for each Stratix II GX device.
Table 2-1. Stratix II GX Transceiver Channels Device
EP2SGX30C EP2SGX60C EP2SGX30D EP2SGX60D EP2SGX60E EP2SGX90E EP2SGX90F EP2SGX130G
Number of Transceiver Channels
4 4 8 8 12 12 16 20
Serial Bandwidth (Full Duplex)
51 Gbps 51 Gbps 102 Gbps 102 Gbps 153 Gbps 153 Gbps 204 Gbps 255 Gbps
Figure 2-2 shows the elements of the transceiver block, including the four transceiver channels, supporting logic, and I/O buffers. Each transceiver channel consists of a receiver and transmitter. The supporting logic contains two transmitter PLLs to generate the high-speed clock(s) used by the four transmitters within that block. Each of the four transmitter channels has its own individual clock divider. The four receiver PLLs within each transceiver block generate four recovered clocks. The transceiver channels can be configured in one of the following functional modes:

PCI Express (PIPE) OIF CEI PHY Interface SONET/SDH Gigabit Ethernet (GIGE) XAUI Basic (600 Mbps to 3.125 Gbps single-width mode and 1 Gbps to 6.375 Gbps double-width mode) SDI (HD, 3G) CPRI (614 Mbps, 1228 Mbps, 2456 Mbps) Serial RapidIO (1.25 Gbps, 2.5 Gbps, 3.125 Gbps)
2-2 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-2. Elements of the Transceiver Block
Stratix II GX Logic Array Transceiver Block RX1 Channel 1 TX1 RX0 Channel 0 TX0 Supporting Blocks (PLLs, State Machines, Programming) REFCLK_1 REFCLK_0 RX2 Channel 2 TX2 RX3 Channel 3 TX3
Each Stratix II GX transceiver channel consists of a transmitter and receiver. The transceivers are grouped in four and share PLL resources. Each transmitter has access to one of two PLLs. The transmitter contains the following:

Transmitter phase compensation first-in first-out (FIFO) buffer Byte serializer (optional) 8B/10B encoder (optional) Serializer (parallel-to-serial converter) Transmitter differential output buffer
The receiver contains the following:

Receiver differential input buffer Receiver lock detector and run length checker Clock recovery unit (CRU) Deserializer Pattern detector Word aligner Lane deskew Rate matcher (optional) 8B/10B decoder (optional) Byte deserializer (optional) Byte ordering Receiver phase compensation FIFO buffer
Designers can preset Stratix II GX transceiver functions using the Quartus(R) II software. In addition, pre-emphasis, equalization, and differential output voltage (VOD) are dynamically programmable. Each Stratix II GX transceiver channel supports various loopback modes and is
Altera Corporation October 2007
2-3 Stratix II GX Device Handbook, Volume 1
Transceivers
capable of built-in self test (BIST) generation and verification. The ALT2GXB megafunction in the Quartus II software provides a step-by-step menu selection to configure the transceiver. Figure 2-1 shows the block diagram for the Stratix II GX transceiver channel. Stratix II GX transceivers provide PCS and PMA implementations for all supported protocols. The PCS portion of the transceiver consists of the word aligner, lane deskew FIFO buffer, rate matcher FIFO buffer, 8B/10B encoder and decoder, byte serializer and deserializer, byte ordering, and phase compensation FIFO buffers. Each Stratix II GX transceiver channel is also capable of BIST generation and verification in addition to various loopback modes. The PMA portion of the transceiver consists of the serializer and deserializer, the CRU, and the high-speed differential transceiver buffers that contain pre-emphasis, programmable on-chip termination (OCT), programmable voltage output differential (VOD), and equalization.
Transmitter Path
This section describes the data path through the Stratix II GX transmitter. The Stratix II GX transmitter contains the following modules:

Transmitter PLLs Access to one of two PLLs Transmitter logic array interface Transmitter phase compensation FIFO buffer Byte serializer 8B/10B encoder Serializer (parallel-to-serial converter) Transmitter differential output buffer
Transmitter PLLs
Each transceiver block has two transmitter PLLs which receive two reference clocks to generate timing and the following clocks:

High-speed clock used by the serializer to transmit the high-speed differential transmitter data Low-speed clock to load the parallel transmitter data of the serializer
The serializer uses high-speed clocks to transmit data. The serializer is also referred to as parallel in serial out (PISO). The high-speed clock is fed to the local clock generation buffer. The local clock generation buffers divide the high-speed clock on the transmitter to a desired frequency on a per-channel basis. Figure 2-3 is a block diagram of the transmitter clocks.
2-4 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-3. Clock Distribution for the Transmitters Note (1)
Transmitter Channel [3..2] Transmitter Local Clock Divider Block Transmitter High-Speed & Low-Speed Clocks
TX Clock Gen Block
Central Block Reference Clocks (refclks, Global Clock (1), Inter-Transceiver Lines)
Transmitter PLL Block
Central Clock Divider Block
Transmitter Channel [1..0] Transmitter Local Clock Divider Block
TX Clock Gen Block
Transmitter High-Speed & Low-Speed Clocks
Note to Figure 2-3:
(1) The global clock line must be driven by an input pin.
The transmitter PLLs in each transceiver block clock the PMA and PCS circuitry in the transmit path. The Quartus II software automatically powers down the transmitter PLLs that are not used in the design. Figure 2-4 is a block diagram of the transmitter PLL. The transmitter phase/frequency detector references the clock from one of the following sources:

Reference clocks Reference clock from the adjacent transceiver block Inter-transceiver block clock lines Global clock line driven by input pin
Two reference clocks, REFCLK0 and REFCLK1, are available per transceiver block. The inter-transceiver block bus allows multiple transceivers to use the same reference clocks. Each transceiver block has one outgoing reference clock which connects to one inter-transceiver block line. The incoming reference clock can be selected from five inter-transceiver block lines IQ[4..0] or from the global clock line that is driven by an input pin.
Altera Corporation October 2007
2-5 Stratix II GX Device Handbook, Volume 1
Transceivers
Figure 2-4. Transmitter PLL Block Note (1)
Transmitter PLL 0 /m
Inter-Transceiver Block Routing (IQ[4:0]) From PLD / /2 2 INCLK
up PFD dn CP+LF VCO /L
High-Speed Transmitter PLL0 Clock
Dedicated Local REFCLK 0
High-Speed Transmitter PLL Clock To Inter-Transceiver Block Line
Transmitter PLL 1 /m
Inter-Transceiver Block Routing (IQ[4:0]) From PLD Dedicated Local REFCLK 1 /2
up INCLK PFD dn CP+LF VCO /L High-Speed Transmitter PLL1 Clock
Note to Figure 2-4:
(1) The global clock line must be driven by an input pin.
The transmitter PLLs support data rates up to 6.375 Gbps. The input clock frequency is limited to 622.08 MHz. An optional pll_locked port is available to indicate whether the transmitter PLL is locked to the reference clock. Both transmitter PLLs have a programmable loop bandwidth parameter that can be set to low, medium, or high. The loop bandwidth parameter can be statically set in the Quartus II software. Table 2-2 lists the adjustable parameters in the transmitter PLL.
Table 2-2. Transmitter PLL Specifications Parameter
Input reference frequency range Data rate support Multiplication factor (W) Bandwidth
Specifications
50 MHz to 622.08 MHz 600 Mbps to 6.375 Gbps 1, 4, 5, 8, 10, 16, 20, 25 Low, medium, or high
2-6 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Transmitter Phase Compensation FIFO Buffer
The transmitter phase compensation FIFO buffer resides in the transceiver block at the PCS/FPGA boundary and cannot be bypassed. This FIFO buffer compensates for phase differences between the transmitter PLL clock and the clock from the PLD. After the transmitter PLL has locked to the frequency and phase of the reference clock, the transmitter FIFO buffer must be reset to initialize the read and write pointers. After FIFO pointer initialization, the PLL must remain phase locked to the reference clock.
Byte Serializer
The FPGA and transceiver block must maintain the same throughput. If the FPGA interface cannot meet the timing margin to support the throughput of the transceiver, the byte serializer is used on the transmitter and the byte deserializer is used on the receiver. The byte serializer takes words from the FPGA interface and converts them into smaller words for use in the transceiver. The transmit data path after the byte serializer is 8, 10, 16, or 20 bits. Refer to Table 2-3 for the transmitter data with the byte serializer enabled. The byte serializer can be bypassed when the data width is 8, 10, 16, or 20 bits at the FPGA interface.
Table 2-3. Transmitter Data with the Byte Serializer Enabled Input Data Width
16 bits 20 bits 32 bits 40 bits
Output Data Width
8 bits 10 bits 16 bits 20 bits
If the byte serializer is disabled, the FPGA transmit data is passed without data width conversion.
Altera Corporation October 2007
2-7 Stratix II GX Device Handbook, Volume 1
Transceivers
Table 2-4 shows the data path configurations for the Stratix II GX device in single-width and double-width modes. 1 Refer to the section "8B/10B Encoder" on page 2-8 for a description of the single- and double-width modes.
Table 2-4. Data Path Configurations Note (1) Single-Width Mode Parameter Without Byte Serialization/ Deserialization
8 or 10 0.6 to 2.5 8 or 10
Double-Width Mode Without Byte Serialization/ Deserialization
16 or 20 1 to 5.0 16 or 20
With Byte Serialization/ Deserialization
16 or 20 0.6 to 3.125 8 or 10
With Byte Serialization/ Deserialization
32 or 40 1 to 6.375 16 or 20
Fabric to PCS data path width (bits) Data rate range (Gbps) PCS to PMA data path width (bits) Byte ordering (1) Data symbol A (MSB) Data symbol B Data symbol C Data symbol D (LSB) Note to Table 2-4:
(1)
v v v v v v
v v v v v
Designs can use byte ordering when byte serialization and deserialization are used.
8B/10B Encoder
There are two different modes of operation for 8B/10B encoding. Single-width (8-bit) mode supports natural data rates from 622 Mbps to 3.125 Gbps. Double-width (16-bit cascaded) mode supports data rates above 3.125 Gbps. The encoded data has a maximum run length of five. The 8B/10B encoder can be bypassed. Figure 2-5 diagrams the 10-bit encoding process.
2-8 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-5. 8B/10B Encoding Process
7 H 6 G 5 F 4 E 3 D 2 C 1 B 0 A + ctrl
8B/10B Conversion
j 9
h 8
g 7
f 6
i 5
e 4
d 3
c 2
b 1
a 0
MSB sent last
LSB sent first
In single-width mode, the 8B/10B encoder generates a 10-bit code group from the 8-bit data and 1-bit control identifier. In double-width mode, there are two 8B/10B encoders that are cascaded together and generate a 20-bit (2 x 10-bit) code group from the 16-bit (2 x 8-bit) data + 2-bit (2 x 1-bit) control identifier. Figure 2-6 shows the 20-bit encoding process. The 8B/10B encoder conforms to the IEEE 802.3 1998 edition standards. Figure 2-6. 16-Bit to 20-Bit Encoding Process
H' CTRL[1..0] 15 G' 14 F' 13 E' 12 D' 11 C' 10 B' 9 A' 8 H 7 G 6 F 5 E 4 D 3 C 2 B 1 A 0 Parallel Data
Cascaded 8B/10B Conversion
j' 19
h' 18
g' 17
f' 16
i' 15
e' 14
d' 13
c' 12
b' 11
a' 10
j 9
h 8
g 7
f 6
i 5
e 4
d 3
c 2
b 1
a 0
MSB
LSB
Upon power on or reset, the 8B/10B encoder has a negative disparity which chooses the 10-bit code from the RD-column. However, the running disparity can be changed via the tx_forcedisp and tx_dispval ports.
Altera Corporation October 2007
2-9 Stratix II GX Device Handbook, Volume 1
Transceivers
Transmit State Machine
The transmit state machine operates in either PCI Express mode, XAUI mode, or GIGE mode, depending on the protocol used. The state machine is not utilized for certain protocols, such as SONET. GIGE Mode In GIGE mode, the transmit state machine converts all idle ordered sets (/K28.5/, /Dx.y/) to either /I1/ or /I2/ ordered sets. /I1/ consists of a negative-ending disparity /K28.5/ (denoted by /K28.5/-) followed by a neutral /D5.6/. /I2/ consists of a positive-ending disparity /K28.5/ (denoted by /K28.5/+) and a negative-ending disparity /D16.2/ (denoted by /D16.2/-). The transmit state machines do not convert any of the ordered sets to match /C1/ or /C2/, which are the configuration ordered sets. (/C1/ and /C2/ are defined by [/K28.5/, /D21.5/] and [/K28.5/, /D2.2/], respectively). Both the /I1/ and /I2/ ordered sets guarantee a negative-ending disparity after each ordered set. XAUI Mode The transmit state machine translates the XAUI XGMII code group to the XAUI PCS code group. Table 2-5 shows the code conversion.
Table 2-5. Code Conversion XGMII TXC
0 1 1 1 1 1 1 1
XGMII TXD
00 through FF 07 07 9C FB FD FE See IEEE 802.3 reserved code groups Other value
PCS Code-Group
Dxx.y K28.0 or K28.3 or K28.5 K28.5 K28.4 K27.7 K29.7 K30.7 See IEEE 802.3 reserved code groups K30.7
Description
Normal data Idle in ||I|| Idle in ||T|| Sequence Start Terminate Error Reserved code groups
1
Invalid XGMII character
The XAUI PCS idle code groups, /K28.0/ (/R/) and /K28.5/ (/K/), are automatically randomized based on a PRBS7 pattern with an x7 + x6 + 1 polynomial. The /K28.3/ (/A/) code group is automatically generated between 16 and 31 idle code groups. The idle randomization on the /A/, /K/, and /R/ code groups is done automatically by the transmit state machine.
2-10 Stratix II GX Device Handbook, Volume 1 Altera Corporation October 2007
Stratix II GX Architecture
Serializer (Parallel-to-Serial Converter)
The serializer converts the parallel 8, 10, 16, or 20-bit data into a serial data bit stream, transmitting the least significant bit (LSB) first. The serialized data stream is then fed to the high-speed differential transmit buffer. Figure 2-7 is a diagram of the serializer. Figure 2-7. Serializer Note (1)
D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 Low-speed parallel clock High-speed serial clock D9 D8 D7 D6 D5 D4 D3 D2 D1 D0
Serial data out (to output buffer)
10
Note to Figure 2-7:
(1) This is a 10-bit serializer. The serializer can also convert 8, 16, and 20 bits of data.
Transmit Buffer
The Stratix II GX transceiver buffers support the 1.2- and 1.5-V PCML I/O standard at rates up to 6.375 Gbps. The common mode voltage (VCM) of the output driver is programmable. The following VCM values are available when the buffer is in 1.2- and 1.5-V PCML.

VCM = 0.6 V VCM = 0.7 V
Altera Corporation October 2007
2-11 Stratix II GX Device Handbook, Volume 1
Transceivers
f
Refer to the Stratix II GX Transceiver Architecture Overview chapter in volume 2 of the Stratix II GX Handbook. The output buffer, as shown in Figure 2-8, is directly driven by the high-speed data serializer and consists of a programmable output driver, a programmable pre-emphasis circuit, a programmable termination, and a programmable VCM. Figure 2-8. Output Buffer
Serializer
Output Buffer
Programmable Pre-Emphasis Programmable Output Driver
Programmable Termination
Output Pins
Programmable Output Driver The programmable output driver can be set to drive out differentially 200 to 1,400 mV. The differential output voltage (VOD) can be changed dynamically, or statically set by using the ALT2GXB megafunction or through I/O pins. The output driver may be programmed with four different differential termination values:

100 120 150 External termination
2-12 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Differential signaling conventions are shown in Figure 2-9. The differential amplitude represents the value of the voltage between the true and complement signals. Peak-to-peak differential voltage is defined as 2 x (VHIGH - VLOW) = 2 x single-ended voltage swing. The common mode voltage is the average of Vhigh and Vlow. Figure 2-9. Differential Signaling
Single-Ended Waveform
True
Vhigh +VOD -
Complement
Vlow Differential Waveform +400 +VOD 0-V Differential VOD (Differential) = Vhigh - Vlow 2 * VOD -VOD -400
Programmable Pre-Emphasis The programmable pre-emphasis module controls the output driver to boost the high frequency components, and compensate for losses in the transmission medium, as shown in Figure 2-10. The pre-emphasis is set statically using the ALT2GXB megafunction or dynamically through the dynamic reconfiguration controller. Figure 2-10. Pre-Emphasis Signaling
VMAX
VMIN
Pre-Emphasis % = (
VMAX VMIN
- 1) x 100
Altera Corporation October 2007
2-13 Stratix II GX Device Handbook, Volume 1
Transceivers
Pre-emphasis percentage is defined as (VMAX/VMIN - 1) x 100, where VMAX is the differential emphasized voltage (peak-to-peak) and VMIN is the differential steady-state voltage (peak-to-peak). Programmable Termination The programmable termination can be statically set in the Quartus II software. The values are 100 , 120 , 150 , and external termination. Figure 2-11 shows the setup for programmable termination. Figure 2-11. Programmable Transmitter Terminations
VCM Programmable Output Driver
50, 60, or 75 9
PCI Express Receiver Detect The Stratix II GX transmitter buffer has a built-in receiver detection circuit for use in PIPE mode. This circuit provides the ability to detect if there is a receiver downstream by sending out a pulse on the channel and monitoring the reflection. This mode requires the transmitter buffer to be tri-stated (in electrical idle mode). PCI Express Electric Idles (or Individual Transmitter Tri-State) The Stratix II GX transmitter buffer supports PCI Express electrical idles. This feature is only active in PIPE mode. The tx_forceelecidle port puts the transmitter buffer in electrical idle mode. This port is available in all PCI Express power-down modes and has specific usage in each mode.
Receiver Path
This section describes the data path through the Stratix II GX receiver. The Stratix II GX receiver consists of the following blocks:

Receiver differential input buffer Receiver PLL lock detector, signal detector, and run length checker Clock/data recovery (CRU) unit Deserializer Pattern detector Word aligner
2-14 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture

Lane deskew Rate matcher 8B/10B decoder Byte deserializer Byte ordering Receiver phase compensation FIFO buffer
Receiver Input Buffer
The Stratix II GX receiver input buffer supports the 1.2-V and 1.5-V PCML I/O standard at rates up to 6.375 Gbps. The common mode voltage of the receiver input buffer is programmable between 0.85 V and 1.2 V. You must select the 0.85 V common mode voltage for AC- and DC-coupled PCML links and the 1.2 V common mode voltage for DC-coupled LVDS links. The receiver has programmable on-chip 100-, 120-, or 150- differential termination for different protocols, as shown in Figure 2-12. The receiver's internal termination can be disabled if external terminations and biasing are provided. The receiver and transmitter differential termination resistances can be set independently of each other. Figure 2-12. Receiver Input Buffer
Programmable Termination Input Pins Programmable Equalizer
Differential Input Buffer
Programmable Termination
The programmable termination can be statically set in the Quartus II software. Figure 2-13 shows the setup for programmable receiver termination. The termination can be disabled if external termination is provided.
Altera Corporation October 2007
2-15 Stratix II GX Device Handbook, Volume 1
Transceivers
Figure 2-13. Programmable Receiver Termination
Differential Input Buffer VCM
50, 60, or 75
50, 60, or 75
If a design uses external termination, the receiver must be externally terminated and biased to 0.85 V or 1.2 V. Figure 2-14 shows an example of an external termination and biasing circuit. Figure 2-14. External Termination and Biasing Circuit
Receiver External Termination and Biasing Stratix II GX Device
50/60/75- Termination Resistance
VDD
R1 C1
Receiver
R1/R2 = 1K VDD x {R2/(R1 + R 2)} = 0.85/1.2 V RXIP R2 RXIN
Receiver External Termination and Biasing Transmission Line
Programmable Equalizer
The Stratix II GX receivers provide a programmable receive equalization feature to compensate the effects of channel attenuation for high-speed signaling. PCB traces carrying these high-speed signals have low-pass filter characteristics. The impedance mismatch boundaries can also cause signal degradation. The equalization in the receiver diminishes the lossy attenuation effects of the PCB at high frequencies.
2-16 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
1
The Stratix II GX receivers also have adaptive equalization capability that adjusts the equalization levels to compensate for changing link characteristics. The adaptive equalization can be powered down dynamically after it selects the appropriate equalization levels.
The receiver equalization circuit is comprised of a programmable amplifier. Each stage is a peaking equalizer with a different center frequency and programmable gain. This allows varying amounts of gain to be applied, depending on the overall frequency response of the channel loss. Channel loss is defined as the summation of all losses through the PCB traces, vias, connectors, and cables present in the physical link. Figure 2-15 shows the frequency response for the 16 programmable settings allowed by the Quartus II software for Stratix II GX devices. Figure 2-15. Frequency Response
High
Medium
Low
Bypass EQ
Receiver PLL and CRU
Each transceiver block has four receiver PLLs, lock detectors, signal detectors, run length checkers, and CRU units, each of which is dedicated to a receive channel. If the receive channel associated with a particular receiver PLL or CRU is not used, the receiver PLL and CRU are powered down for the channel. Figure 2-16 shows the receiver PLL and CRU circuits.
Altera Corporation October 2007
2-17 Stratix II GX Device Handbook, Volume 1
Transceivers
Figure 2-16. Receiver PLL and CRU
/1, 4, 5, 8, 10, 16, 20, or 25 /m
rx_pll_locked PFD Up Down Up Down CP+LF VCO /L /1, 2, 4
rx_cruclk
/N /1, 2, 4
/2
rx_locktorefclk rx_locktodata rx_datain Clock Recovery Unit (CRU)
rx_freqlocked rx_rlv[ ] High Speed RCVD_CLK Low Speed RCVD_CLK
The receiver PLLs and CRUs can support frequencies up to 6.375 Gbps. The input clock frequency is limited to the full clock range of 50 to 622 MHz but only when using REFCLK0 or REFCLK1. An optional RX_PLL_LOCKED port is available to indicate whether the PLL is locked to the reference clock. The receiver PLL has a programmable loop bandwidth which can be set to low, medium, or high. The Quartus II software can statically set the loop bandwidth parameter. All the parameters listed are programmable in the Quartus II software. The receiver PLL has the following features:


Operates from 600 Mbps to 6.375 Gbps. Uses a reference clock between 50 MHz and 622.08 MHz. Programmable bandwidth settings: low, medium, and high. Programmable rx_locktorefclk (forces the receiver PLL to lock to the reference clock) and rx_locktodata (forces the receiver PLL to lock to the data). The voltage-controlled oscillator (VCO) operates at half rate and has two modes. These modes are for low or high frequency operation and provide optimized phase-noise performance. Programmable frequency multiplication W of 1, 4, 5, 8, 10, 16, 20, and 25. Not all settings are supported for any particular frequency. Two lock indication signals are provided. They are found in PFD mode (lock-to-reference clock), and PD (lock-to-data).
2-18 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
The CRU has a built-in switchover circuit to select whether the PLL VCO is aligned by the reference clock or the data. The optional port rx_freqlocked monitors when the CRU is in locked-to-data mode. In the automatic mode, the CRU PLL must be within the prescribed PPM frequency threshold setting of the CRU reference clock for the CRU to switch from locked-to-reference to locked-to-data mode. The automatic switchover circuit can be overridden by using the optional ports rx_locktorefclk and rx_locktodata. Table 2-6 shows the possible combinations of these two signals.
Table 2-6. Receiver Lock Combinations rx_locktodata
0 0 1
rx_locktorefclk
0 1 x
VCO (Lock to Mode)
Auto Reference clock Data
If the rx_locktorefclk and rx_locktodata ports are not used, the default is auto mode.
Deserializer (Serial-to-Parallel Converter)
The deserializer converts a serial bitstream into 8, 10, 16, or 20 bits of parallel data. The deserializer receives the LSB first. Figure 2-17 shows the deserializer.
Altera Corporation October 2007
2-19 Stratix II GX Device Handbook, Volume 1
Transceivers
Figure 2-17. Deserializer Note (1)
D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 D9 D8 D7 D6 D5 D4 D3 D2 D1 D0
10
High-speed serial clock Low-speed parallel clock
Note to Figure 2-17:
(1) This is a 10-bit deserializer. The deserializer can also convert 8, 16, or 20 bits of data.
Word Aligner
The deserializer block creates 8-, 10-, 16-, or 20-bit parallel data. The deserializer ignores protocol symbol boundaries when converting this data. Therefore, the boundaries of the transferred words are arbitrary. The word aligner aligns the incoming data based on specific byte or word boundaries. The word alignment module is clocked by the local receiver recovered clock during normal operation. All the data and programmed patterns are defined as big-endian (most significant word followed by least significant word). Most-significant-bit-first protocols such as SONET/SDH should reverse the bit order of word align patterns programmed.
2-20 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
This module detects word boundaries for the 8B/10B-based protocols, SONET, 16-bit, and 20-bit proprietary protocols. This module is also used to align to specific programmable patterns in PRBS7/23 test mode. Pattern Detection The programmable pattern detection logic can be programmed to align word boundaries using a single 7-, 8-, 10-, 16-, 20, or 32-bit pattern. The pattern detector can either do an exact match, or match the exact pattern and the complement of a given pattern. Once the programmed pattern is found, the data stream is aligned to have the pattern on the LSB portion of the data output bus. XAUI, GIGE, PCI Express, and Serial RapidIO standards have embedded state machines for symbol boundary synchronization. These standards use K28.5 as their 10-bit programmed comma pattern. Each of these standards uses different algorithms before signaling symbol boundary acquisition to the FPGA. The pattern detection logic searches from the LSB to the most significant bit (MSB). If multiple patterns are found within the search window, the pattern in the lower portion of the data stream (corresponding to the pattern received earlier) is aligned and the rest of the matching patterns are ignored. Once a pattern is detected and the data bus is aligned, the word boundary is locked. The two detection status signals (rx_syncstatus and rx_patterndetect) indicate that an alignment is complete. Figure 2-18 is a block diagram of the word aligner. Figure 2-18. Word Aligner
datain bitslip
Word Aligner
dataout syncstatus patterndetect
enapatternalign clock
Altera Corporation October 2007
2-21 Stratix II GX Device Handbook, Volume 1
Transceivers
Control and Status Signals The rx_enapatternalign signal is the FPGA control signal that enables word alignment in non-automatic modes. The rx_enapatternalign signal is not used in automatic modes (PCI Express, XAUI, GIGE, CPRI, and Serial RapidIO). In manual alignment mode, after the rx_enapatternalign signal is activated, the rx_syncstatus signal goes high for one parallel clock cycle to indicate that the alignment pattern has been detected and the word boundary has been locked. If the rx_enapatternalign is deactivated, the rx_syncstatus signal acts as a re-synchronization signal to signify that the alignment pattern has been detected but not locked on a different word boundary. When using the synchronization state machine, the rx_syncstatus signal indicates the link status. If the rx_syncstatus signal is high, link synchronization is achieved. If the rx_syncstatus signal is low, synchronization has not yet been achieved, or there were enough code group errors to lose synchronization. In some modes, the rx_enapatternalign signal can be configured to operate as a rising edge signal.
f
For more information on manual alignment modes, refer to the Stratix II GX Device Handbook, volume 2. When the rx_enapatternalign signal is sensitive to the rising edge, each rising edge triggers a new boundary alignment search, clearing the rx_syncstatus signal. The rx_patterndetect signal pulses high during a new alignment, and also whenever the alignment pattern occurs on the current word boundary. SONET/SDH In all the SONET/SDH modes, you can configure the word aligner to either align to A1A2 or A1A1A2A2 patterns. Once the pattern is found, the word boundary is aligned and the word aligner asserts the rx_patterndetect signal for one clock cycle.
2-22 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Programmable Run Length Violation The word aligner supports a programmable run length violation counter. Whenever the number of the continuous `0' (or `1') exceeds a user programmable value, the rx_rlv signal goes high for a minimum pulse width of two recovered clock cycles. The maximum run values supported are shown in Table 2-7.
Table 2-7. Maximum Run Length (UI) PMA Serialization Mode 8 Bit
Single-Width Double-Width 128 --
10 Bit
160 --
16 Bit
-- 512
20 Bit
-- 640
Running Disparity Check The running disparity error rx_disperr and running disparity value rx_runningdisp are sent along with aligned data from the 8B/10B decoder to the FPGA. You can ignore or act on the reported running disparity value and running disparity error signals. Bit-Slip Mode The word aligner can operate in either pattern detection mode or in bit-slip mode. The bit-slip mode provides the option to manually shift the word boundary through the FPGA. This feature is useful for:

Longer synchronization patterns than the pattern detector can accommodate Scrambled data stream Input stream consisting of over-sampled data
This feature can be applied at 10-bit and 16-bit data widths. The word aligner outputs a word boundary as it is received from the analog receiver after reset. You can examine the word and search its boundary in the FPGA. To do so, assert the rx_bitslip signal. The rx_bitslip signal should be toggled and held constant for at least two FPGA clock cycles. For every rising edge of the rx_bitslip signal, the current word boundary is slipped by one bit. Every time a bit is slipped, the bit received earliest is lost. If bit slipping shifts a complete round of bus width, the word boundary is back to the original boundary.
Altera Corporation October 2007
2-23 Stratix II GX Device Handbook, Volume 1
Transceivers
The rx_syncstatus signal is not available in bit-slipping mode.
Channel Aligner
The channel aligner is available only in XAUI mode and aligns the signals of all four channels within a transceiver. The channel aligner follows the IEEE 802.3ae, clause 48 specification for channel bonding. The channel aligner is a 16-word FIFO buffer with a state machine controlling the channel bonding process. The state machine looks for an /A/ (/K28.3/) in each channel, and aligns all the /A/ code groups in the transceiver. When four columns of /A/ (denoted by //A//) are detected, the rx_channelaligned signal goes high, signifying that all the channels in the transceiver have been aligned. The reception of four consecutive misaligned /A/ code groups restarts the channel alignment sequence and sends the rx_channelaligned signal low. Figure 2-19 shows misaligned channels before the channel aligner and the aligned channels after the channel aligner. Figure 2-19. Before and After the Channel Aligner
Lane 3 K Lane 2 K R A K R R K K R K R
Before
K
K
R
A
K
R
R
K
K
R
K
R
Lane 1
K
K
R
A
K
R
R
K
K
R
K
R
Lane 0
K
K
R
A
K
R
R
K
K
R
K
R
Lane 3
K
K
R
A
K
R
R
K
K
R
K
R
Lane 2
K
K
R
A
K
R
R
K
K
R
K
R
After
Lane 1
K
K
R
A
K
R
R
K
K
R
K
R
Lane 0
K
K
R
A
K
R
R
K
K
R
K
R
2-24 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Rate Matcher
Rate matcher is available in Basic, PCI Express, XAUI, and GIGE modes and consists of a 20-word deep FIFO buffer and a FIFO controller. Figure 2-20 shows the implementation of the rate matcher in the Stratix II GX device. Figure 2-20. Rate Matcher
datain dataout
Rate Matcher
wrclock rdclock
In a multi-crystal environment, the rate matcher compensates for up to a 300-PPM difference between the source and receiver clocks. Table 2-8 shows the standards supported and the PPM for the rate matcher tolerance.
Table 2-8. Rate Matcher PPM Support Note (1) Standard
XAUI PCI Express (PIPE) GIGE Basic Double-Width Note to Table 2-8:
(1) Refer to the Stratix II GX Transceiver User Guide for the Altera(R)-defined scheme.
PPM
100 300 100 300
Basic Mode In Basic mode, you can program the skip and control pattern for rate matching. In single-width Basic mode, there is no restriction on the deletion of a skip character in a cluster. The rate matcher deletes the skip characters as long as they are available. For insertion, the rate matcher inserts skip characters such that the number of skip characters at the output of rate matcher does not exceed five. In double-width mode, the rate matcher deletes skip character when they appear as pairs in the upper and lower bytes. There are no restrictions on the number of skip characters that are deleted. The rate matcher inserts skip characters as pairs.
Altera Corporation October 2007
2-25 Stratix II GX Device Handbook, Volume 1
Transceivers
GIGE Mode In GIGE mode, the rate matcher adheres to the specifications in clause 36 of the IEEE 802.3 documentation for idle additions or removals. The rate matcher performs clock compensation only on /I2/ ordered sets, composed of a /K28.5/+ followed by a /D16.2/-. The rate matcher does not perform clock compensation on any other ordered set combinations. An /I2/ is added or deleted automatically based on the number of words in the FIFO buffer. A K28.4 is given at the control and data ports when the FIFO buffer is in an overflow or underflow condition. XAUI Mode In XAUI mode, the rate matcher adheres to clause 48 of the IEEE 802.3ae specification for clock rate compensation. The rate matcher performs clock compensation on columns of /R/ (/K28.0/), denoted by //R//. An //R// is added or deleted automatically based on the number of words in the FIFO buffer. PCI Express Mode PCI Express mode operates at a data rate of 2.5 Gbps, and supports lane widths of x1, x2, x4, and x8. The rate matcher can support up to 300-PPM differences between the upstream transmitter and the receiver. The rate matcher looks for the skip ordered sets (SOS), which usually consist of a /K28.5/ comma followed by three /K28.0/ skip characters. The rate matcher deletes or inserts skip characters when necessary to prevent the rate matching FIFO buffer from overflowing or underflowing. The Stratix II GX rate matcher in PCI Express mode has FIFO overflow and underflow protection. In the event of a FIFO overflow, the rate matcher deletes any data after the overflow condition to prevent FIFO pointer corruption until the rate matcher is not full. In an underflow condition, the rate matcher inserts 9'h1FE (/K30.7/) until the FIFO is not empty. These measures ensure that the FIFO can gracefully exit the overflow and underflow condition without requiring a FIFO reset.
8B/10B Decoder
The 8B/10B decoder (Figure 2-21) is part of the Stratix II GX transceiver digital blocks (PCS) and lies in the receiver path between the rate matcher and the byte deserializer blocks. The 8B/10B decoder operates in single-width and double-width modes, and can be bypassed if the 8B/10B decoding is not necessary. In single-width mode, the 8B/10B decoder restores the 8-bit data + 1-bit control identifier from the 10-bit code. In double-width mode, there are two 8B/10B decoders in parallel, which restores the 16-bit (2 x 8-bit) data + 2-bit (2 x 1-bit) control identifier from the 20-bit (2 x 10-bit) code. This 8B/10B decoder conforms to the IEEE 802.3 1998 edition standards.
2-26 Stratix II GX Device Handbook, Volume 1 Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-21. 8B/10B Decoder
dataout[15..8]
Status Signals[1] (1)
8B/10B Decoder MSByte
datain[19..10]
To Byte Deserializer
From Rate Matcher
dataout[7..0]
Status Signals[0] (1)
8B/10B Decoder LSByte
datain[9..0]
The 8B/10B decoder in single-width mode translates the 10-bit encoded data into the 8-bit equivalent data or control code. The 10-bit code received must be from the supported Dx.y or Kx.y list with the proper disparity or error flags asserted. All 8B/10B control signals, such as disparity error or control detect, are pipelined with the data and edge-aligned with the data. Figure 2-22 shows how the 10-bit symbol is decoded in the 8-bit data + 1-bit control indicator. Figure 2-22. 8B/10B Decoder Conversion
j 9 h 8 g 7 f 6 i 5 e 4 d 3 c 2 b 1 a 0
MSB received last 8B/10B conversion
LSB received first
Parallel data
7 H
6 G
5 F
4 E
3 D
2 C
1 B
0 A
+
ctrl
The 8B/10B decoder in double-width mode translates the 20-bit (2 x 10-bits) encoded code into the 16-bit (2 x 8-bits) equivalent data or control code. The 20-bit upper and lower symbols received must be from the supported Dx.y or Kx.y list with the proper disparity or error flags
Altera Corporation October 2007
2-27 Stratix II GX Device Handbook, Volume 1
Transceivers
asserted. All 8B/10B control signals, such as disparity error or control detect, are pipelined with the data in the Stratix II GX receiver block and are edge aligned with the data. Figure 2-23 shows how the 20-bit code is decoded to the 16-bit data + 2-bit control indicator. Figure 2-23. 20-Bit to 16-Bit Decoding Process
j1 19 h1 18 g1 17 f1 16 i1 15 e1 14 d1 13 c1 12 b1 11 a1 10 j 9 h 8 g 7 f 6 i 5 e 4 d 3 c 2 b 1 a 0
MSB
LSB
Cascaded 8B/10B Conversion
CTRL[1..0]
15 H1
14 G1
13 F1
13 E1
11 D1
10 C1
9 B1
8 A1
7 H
6 G
5 F
4 E
3 D
2 C
1 B
0 A
Parallel Data
There are two optional error status ports available in the 8B/10B decoder, rx_errdetect and rx_disperr. These status signals are aligned with the code group in which the error occurred.
Receiver State Machine
The receiver state machine operates in Basic, GIGE, PCI Express, and XAUI modes. In GIGE mode, the receiver state machine replaces invalid code groups with K30.7. In XAUI mode, the receiver state machine translates the XAUI PCS code group to the XAUI XGMII code group.
Byte Deserializer
The byte deserializer widens the transceiver data path before the FPGA interface. This reduces the rate at which the received data needs to be clocked at in the FPGA logic. The byte deserializer block is available in both single- and double-width modes. The byte deserializer converts the one- or two-byte interface into a two- or four-byte-wide data path from the transceiver to the FPGA logic (see Table 2-9). The FPGA interface has a limit of 250 MHz, so the byte deserializer is needed to widen the bus width at the FPGA interface and
2-28 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
reduce the interface speed. For example, at 6.375 Gbps, the transceiver logic has a double-byte-wide data path that runs at 318.75 MHz in a x20 deserializer factor, which is above the maximum FPGA interface speed. When using the byte deserializer, the FPGA interface width doubles to 40-bits (36-bits when using the 8B/10B encoder) and the interface speed reduces to 159.375 MHz.
Table 2-9. Byte Deserializer Input and Output Widths Input Data Width (Bits)
20 16 10 8
Deserialized Output Data Width to the FPGA (Bits)
40 32 20 16
Byte Ordering Block
The byte ordering block shifts the byte order. A pre-programmed byte in the input data stream is detected and placed in the least significant byte of the output stream. Subsequent bytes start appearing in the byte positions following the LSB. The byte ordering block inserts the programmed PAD characters to shift the byte order pattern to the LSB. Based on the setting in the MegaWizard(R) Plug-In Manager, the byte ordering block can be enabled either by the rx_syncstatus signal or by the rx_enabyteord signal from the PLD. When the rx_syncstatus signal is used as enable, the byte ordering block reorders the data only for the first occurrence of the byte order pattern that is received after word alignment is completed. You must assert rx_digitalreset to perform byte ordering again. However, when the byte ordering block is controlled by rx_enabyteord, the byte ordering block can be controlled by the PLD logic dynamically. When you create your functional mode in the MegaWizard, you can select byte ordering block only if rate matcher is not selected.
Receiver Phase Compensation FIFO Buffer
The receiver phase compensation FIFO buffer resides in the transceiver block at the FPGA boundary and cannot be bypassed. This FIFO buffer compensates for phase differences and clock tree timing skew between the receiver clock domain within the transceiver and the receiver FPGA clock after it has transferred to the FPGA.
Altera Corporation October 2007
2-29 Stratix II GX Device Handbook, Volume 1
Transceivers
When the FIFO pointers initialize, the receiver domain clock must remain phase locked to receiver FPGA clock. After resetting the receiver FIFO buffer, writing to the receiver FIFO buffer begins and continues on each parallel clock. The phase compensation FIFO buffer is eight words deep for PIPE mode and four words deep for all other modes.
Loopback Modes
The Stratix II GX transceiver has built-in loopback modes for debugging and testing. The loopback modes are configured in the Stratix II GX ALT2GXB megafunction in the Quartus II software. The available loopback modes are:

Serial loopback Parallel loopback Reverse serial loopback Reverse serial loopback (pre-CDR) PCI Express PIPE reverse parallel loopback (available only in PIPE mode)
Serial Loopback
The serial loopback mode exercises all the transceiver logic, except for the input buffer. Serial loopback is available for all non-PIPE modes. The loopback function is dynamically enabled through the rx_seriallpbken port on a channel-by-channel basis. In serial loopback mode, the data on the transmit side is sent by the PLD. A separate mode is available in the ALT2GXB megafunction under Basic protocol mode, in which PRBS data is generated and verified internally in the transceiver. The PRBS patterns available in this mode are shown in Table 2-10. Table 2-10 shows the BIST data output and verifier alignment pattern.
Table 2-10. BIST Data Output and Verifier Alignment Pattern Parallel Data Width Pattern
PRBS-7 PRBS-10
Polynomial 8-Bit
x7 + x6 + 1 x10 + x7 + 1
10-Bit
16-Bit
20-Bit v
v
2-30 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-24 shows the data path in serial loopback mode. Figure 2-24. Stratix II GX Block in Serial Loopback Mode with BIST and PRBS
Transmitter Digital Logic
BIST Incremental Generator BIST PRBS Generator
Analog Receiver and Transmitter Logic
TX Phase Compensation FIFO FPGA Logic Array BIST Incremental Verify RX Phase Compensation FIFO
Byte Serializer
20
8B/10B Encoder
Serializer
Serial Loopback BIST PRBS Verify Byte Ordering Byte Deserializer 8B/10B Decoder Rate Match FIFO Deskew FIFO Word Aligner Deserializer Clock Recovery Unit
Receiver Digital Logic
Parallel Loopback
The parallel loopback mode exercises the digital logic portion of the transceiver data path. The analog portions are not used in this loopback path, and the received high-speed serial data is not retimed. This protocol is available as one of the sub-protocols under Basic mode and can be used only for Basic double-width mode. In this loopback mode, the data from the internally available BIST generator is transmitted. The data is looped back after the end of PCS and before the PMA. On the receive side, an internal BIST verifier checks for errors. This loopback enables you to verify the PCS block.
Altera Corporation October 2007
2-31 Stratix II GX Device Handbook, Volume 1
Transceivers
Figure 2-25 shows the data path in parallel loopback mode. Figure 2-25. Stratix II GX Block in Parallel Loopback Mode
Transmitter Digital Logic
BIST Incremental Generator BIST PRBS Generator
Analog Receiver and Transmitter Logic
TX Phase Compensation FIFO FPGA Logic Array BIST Incremental Verify RX Phase Compensation FIFO
Byte Serializer 20
8B/10B Encoder Parallel Loopback
Serializer
BIST PRBS Verify Byte Ordering Byte Deserializer 8B/10B Decoder Rate Match FIFO Deskew FIFO Word Aligner Deserializer Clock Recovery Unit
Receiver Digital Logic
Reverse Serial Loopback
The reverse serial loopback mode uses the analog portion of the transceiver. An external source (pattern generator or transceiver) generates the source data. The high-speed serial source data arrives at the high-speed differential receiver input buffer, passes through the CRU unit, and the retimed serial data is looped back and transmitted though the high-speed differential transmitter output buffer.
2-32 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-26 shows the data path in reverse serial loopback mode. Figure 2-26. Stratix II GX Block in Reverse Serial Loopback Mode
Transmitter Digital Logic
BIST Incremental Generator BIST PRBS Generator
Analog Receiver and Transmitter Logic
TX Phase Compensation FIFO FPGA Logic Array BIST Incremental Verify RX Phase Compensation FIFO
Byte Serializer
8B/10B 20 Encoder
Serializer
Reverse Serial Loopback BIST PRBS Verify Byte Ordering Byte Deserializer 8B/10B Decoder Rate Match FIFO Deskew FIFO Word Aligner Deserializer Clock Recovery Unit
Receiver Digital Logic
Reverse Serial Pre-CDR Loopback
The reverse serial pre-CDR loopback mode uses the analog portion of the transceiver. An external source (pattern generator or transceiver) generates the source data. The high-speed serial source data arrives at the high-speed differential receiver input buffer, loops back before the CRU unit, and is transmitted though the high-speed differential transmitter output buffer. It is for test or verification use only to verify the signal being received after the gain and equalization improvements of the input buffer. The signal at the output is not exactly what is received since the signal goes through the output buffer and the VOD is changed to the VOD setting level. The pre-emphasis settings have no effect.
Altera Corporation October 2007
2-33 Stratix II GX Device Handbook, Volume 1
Transceivers
Figure 2-27 show the Stratix II GX block in reverse serial pre-CDR loopback mode. Figure 2-27. Stratix II GX Block in Reverse Serial Pre-CDR Loopback Mode
Transmitter Digital Logic
BIST Incremental Generator BIST PRBS Generator
Analog Receiver and Transmitter Logic
TX Phase Compensation FIFO FPGA Logic Array BIST Incremental Verify RX Phase Compensation FIFO
Byte Serializer
8B/10B 20 Encoder
Serializer
BIST PRBS Verify Byte Ordering Byte Deserializer 8B/10B Decoder Rate Match FIFO Deskew FIFO Word Aligner Deserializer
Reverse Serial Pre-CDR Loopback
Clock Recovery Unit
Receiver Digital Logic
PCI Express PIPE Reverse Parallel Loopback
This loopback mode, available only in PIPE mode, can be dynamically enabled by the tx_detectrxloopback port of the PIPE interface. Figure 2-28 shows the datapath for this mode. Figure 2-28. Stratix II GX Block in PCI Express PIPE Reverse Parallel Loopback Mode
Transmitter Digital Logic
BIST Incremental Generator BIST PRBS Generator
Analog Receiver and Transmitter Logic
TX Phase Compensation FIFO FPGA Logic Array BIST Incremental Verify RX Phase Compensation FIFO
Byte Serializer 20
8B/10B Encoder PCI Express PIPE Reverse Parallel Loopback
Serializer
BIST PRBS Verify Byte Ordering Byte Deserializer 8B/10B Decoder Rate Match FIFO Deskew FIFO Word Aligner Deserializer Clock Recovery Unit
Receiver Digital Logic
2-34 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Transceiver Clocking
Each Stratix II GX device transceiver block contains two transmitter PLLs and four receiver PLLs. These PLLs can be driven by either of the two reference clocks per transceiver block. These REFCLK signals can drive all global clocks, transmitter PLL inputs, and all receiver PLL inputs. Subsequently, the transmitter PLL output can only drive global clock lines and the receiver PLL reference clock port. Only one of the two reference clocks in a quad can drive the Inter Quad (I/Q) lines to clock the PLLs in the other quads. Figure 2-29 shows the inter-transceiver line connections as well as the global clock connections for the EP2SGX130 device.
Altera Corporation October 2007
2-35 Stratix II GX Device Handbook, Volume 1
Transceivers
Figure 2-29. EP2SGX130 Device Inter-Transceiver and Global Clock Connections
To PLD Global Clocks Transceiver Block 0 Global clk line IQ[4..0] REFCLK0 From Global Clock Line (3) /2 To IQ0 Transmitter PLL 1 Transmitter PLL 0
REFCLK1 IQ[4..0] Global clk line IQ[4..0] /2
From Global Clock Line (3)
4 Receiver PLLs
Transceiver Clock Generator Block 16 Interface Clocks Global clk line IQ[4..0] IQ[4..0] Transceiver Block 1 Transmitter PLL 0 /2 To IQ1 Transmitter PLL 1
REFCLK0
REFCLK1 IQ[4..0] Global clk line IQ[4..0] /2
From Global Clock Line (3)
4 Receiver PLLs
Transceiver Clock Generator Block Global clk line IQ[4..0] Transceiver Block 2 Transmitter PLL 0 /2 To IQ4 Transmitter PLL 1 4 Receiver PLLs
REFCLK0
REFCLK1 IQ[4..0] Global clk line IQ[4..0] /2
From Global Clock Line (3)
Transceiver Clock Generator Block Global clk line IQ[4..0] Transceiver Block 3 Transmitter PLL 0 /2 To IQ2 Transmitter PLL 1 4 Receiver PLLs
REFCLK0
REFCLK1 IQ[4..0] Global clk line IQ[4..0] /2
From Global Clock Line (3)
Transceiver Clock Generator Block Global clk line IQ[4..0] Transceiver Block 4 Transmitter PLL 0 REFCLK0 /2 To IQ3 Transmitter PLL 1 4 Receiver PLLs
REFCLK1 IQ[4..0] Global clk line IQ[4..0] /2
From Global Clock Line (3)
Transceiver Clock Generator Block
Notes to Figure 2-29:
(1) (2) (3) There are two transmitter PLLs in each transceiver block. There are four receiver PLLs in each transceiver block. The Global Clock line must be driven by an input pin.
2-36 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
The receiver PLL can also drive the regional clocks and regional routing adjacent to the associated transceiver block. Figure 2-30 shows which global clock resource can be used by the recovered clock. Figure 2-31 shows which regional clock resource can be used by the recovered clock. Figure 2-30. Stratix II GX Receiver PLL Recovered Clock to Global Clock Connection Notes (1), (2)
CLK[15..12] 11 5 7
GCLK[15..12]
Stratix II GX Transceiver Block
CLK[3..0]
1 2
GCLK[3..0]
GCLK[11..8]
GCLK[4..7]
Stratix II GX Transceiver Block
8 12 6 CLK[7..4]
Notes to Figure 2-30:
(1) (2) CLK# pins are clock pins and their associated number. These are pins for global and regional clocks. GCLK# pins are global clock pins.
Altera Corporation October 2007
2-37 Stratix II GX Device Handbook, Volume 1
Transceivers
Figure 2-31. Stratix II GX Receiver PLL Recovered Clock to Regional Clock Connection Notes (1), (2)
CLK[15..12] 11 5 7 RCLK [31..28] RCLK [27..24] Stratix II GX Transceiver Block
RCLK [3..0] 1 2 RCLK [7..4]
RCLK [23..20]
CLK[3..0]
RCLK [19..16]
Stratix II GX Transceiver Block
8
RCLK [11..8] 12 6 CLK[7..4]
RCLK [15..12]
Notes to Figure 2-31:
(1) (2) CLK# pins are clock pins and their associated number. These are pins for global and local clocks. RCLK# pins are regional clock pins.
2-38 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Table 2-11 summarizes the possible clocking connections for the transceivers.
Table 2-11. Available Clocking Connections for Transceivers Destination Source Transmitter PLL v Receiver PLL v Global Clock v v v v v v v Regional Clock v v v Inter-Transceiver Lines v
REFCLK[1..0]
Transmitter PLL Receiver PLL Global clock (driven from an input pin) Inter-transceiver lines
Clock Resource for PLD-Transceiver Interface
For the regional or global clock network to route into the transceiver, a local route input output (LRIO) channel is required. Each LRIO clock region has up to eight clock paths and each transceiver block has a maximum of eight clock paths for connecting with LRIO clocks. These resources are limited and determine the number of clocks that can be used between the PLD and transceiver blocks. Table 2-12 shows the number of LRIO resources available for Stratix II GX devices with different numbers of transceiver blocks. Tables 2-12 through 2-15 show the connection of the LRIO clock resource to the transceiver block.
Table 2-12. Available Clocking Connections for Transceivers in 2SGX30D Clock Resource Region
Region0 8 LRIO clock Region1 8 LRIO clock
Transceiver Bank 13 8 Clock I/O v v Bank 14 8 Clock I/O
Global Clock v v
Regional Clock
RCLK 20-27 RCLK 12-19
Altera Corporation October 2007
2-39 Stratix II GX Device Handbook, Volume 1
Transceivers
.
Table 2-13. Available Clocking Connections for Transceivers in 2SGX60E Clock Resource Region Global Clock
Region0 8 LRIO clock Region1 8 LRIO clock Region2 8 LRIO clock Region3 8 LRIO clock
Transceiver Bank 13 8 Clock I/O v v v v v v Bank 14 8 Clock I/O Bank 15 8 Clock I/O
Regional Clock
RCLK 20-27 RCLK 20-27 RCLK 12-19 RCLK 12-19
v v v v .
Table 2-14. Available Clocking Connections for Transceivers in 2SGX90F Clock Resource Region
Region0 8 LRIO clock Region1 8 LRIO clock Region2 8 LRIO clock Region3 8 LRIO clock
Transceiver Bank 13 8 Clock I/O v v v v Bank 14 8 Clock I/O Bank 15 8 clock I/O Bank 16 8 Clock I/O
Global Clock v v v v
Regional Clock
RCLK 20-27 RCLK 20-27 RCLK 12-19 RCLK 12-19
2-40 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
.
Table 2-15. Available Clocking Connections for Transceivers in 2SGX130G Clock Resource Region
Region0 8 LRIO clock Region1 8 LRIO clock Region2 8 LRIO clock Region3 8 LRIO clock
Transceiver Bank 13 8 Clock I/O v v v v v v Bank 14 8 Clock I/O Bank 15 8 clock I/O Bank 16 8 Clock I/O Bank 17 8 Clock I/O
Global Clock v v v v
Regional Clock
RCLK 20-27 RCLK 20-27 RCLK 12-19 RCLK 12-19
Other Transceiver Features
Other important features of the Stratix II GX transceivers are the power down and reset capabilities, external voltage reference and bias circuitry, and hot swapping.
Calibration Block
The Stratix II GX device uses the calibration block to calibrate the on-chip termination for the PLLs and their associated output buffers and the terminating resistors on the transceivers. The calibration block counters the effects of process, voltage, and temperature (PVT). The calibration block references a derived voltage across an external reference resistor to calibrate the on-chip termination resistors on the Stratix II GX device. The calibration block can be powered down. However, powering down the calibration block during operations may yield transmit and receive data errors.
Dynamic Reconfiguration
This feature allows you to dynamically reconfigure the PMA portion and the channel parameters, such as data rate and functional mode, of the Stratix II GX transceiver. The PMA reconfiguration allows you to quickly optimize the settings for the transceiver's PMA to achieve the intended bit error rate (BER).
Altera Corporation October 2007
2-41 Stratix II GX Device Handbook, Volume 1
Transceivers
The dynamic reconfiguration block can dynamically reconfigure the following PMA settings:

Pre-emphasis settings Equalizer and DC gain settings Voltage Output Differential (VOD) settings
The channel reconfiguration allows you to dynamically modify the data rate, local dividers, and the functional mode of the transceiver channel.
f
Refer to the Stratix II GX Device Handbook, volume 2, for more information. The dynamic reconfiguration block requires an input clock between 2.5 MHz and 50 MHz. The clock for the dynamic reconfiguration block is derived from a high-speed clock and divided down using a counter.
Individual Power Down and Reset for the Transmitter and Receiver
Stratix II GX transceivers offer a power saving advantage with their ability to shut off functions that are not needed. The device can individually reset the receiver and transmitter blocks and the PLLs. The Stratix II GX device can either globally or individually power down and reset the transceiver. Table 2-16 shows the connectivity between the reset signals and the Stratix II GX transceiver blocks. These reset signals can be controlled from the FPGA or pins.
2-42 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Table 2-16. Reset Signal Map to Stratix II GX Blocks Transmitter Phase Compensation FIFO Module/ Byte Serializer
Transmitter XAUI State Machine
Receiver Deskew FIFO Module
Reset Signal
Receiver Phase Comp FIFO Module/ Byte Deserializer
Receiver XAUI State Machine
Transmitter 8B/10B Encoder
Transmitter Analog Circuits
Receiver 8B/10B Decoder
rx_digitalreset rx_analogreset tx_digitalreset v gxb_powerdown gxb_enable
v v v v v v v v v v v v v v v v v v v v
v
v
v
v
v v
v
v v
v v
v v
v v
v v
v v
v v
v v
v v
v v
Voltage Reference Capabilities
Stratix II GX transceivers provide voltage reference and bias circuitry. To set up internal bias for controlling the transmitter output driver voltage swings, as well as to provide voltage and current biasing for other analog circuitry, the device uses an internal bandgap voltage reference of 0.7 V. An external 2-K resistor connected to ground generates a constant bias current (independent of power supply drift, process changes, or temperature variation). An on-chip resistor generates a tracking current that tracks on-chip resistor variation. These currents are mirrored and distributed to the analog circuitry in each channel.
f
For more information, refer to the DC and Switching Characteristics chapter in volume 1 of the Stratix II GX Handbook.
Altera Corporation October 2007
2-43 Stratix II GX Device Handbook, Volume 1
Receiver Analog Circuits
Receiver Rate Matcher
Receiver Word Aligner
Transmitter Serializer
Receiver Deserializer
Receiver PLL / CRU
BIST Generators
Transmitter PLL
BIST Verifiers
Logic Array Blocks
Applications and Protocols Supported with Stratix II GX Devices
Each Stratix II GX transceiver block is designed to operate at any serial bit rate from 600 Mbps to 6.375 Gbps per channel. The wide data rate range allows Stratix II GX transceivers to support a wide variety of standards and protocols, such as PCI Express, GIGE, SONET/SDH, SDI, OIF-CEI, and XAUI. Stratix II GX devices are ideal for many high-speed communication applications, such as high-speed backplanes, chip-to-chip bridges, and high-speed serial communications links.
Example Applications Support for Stratix II GX
Stratix II GX devices can be used for many applications, including:

Traffic management with various levels of quality of service (QoS) and integrated serial backplane interconnect Multi-port single-protocol switching (for example, PCI Express, GIGE, XAUI switch, or SONET/SDH)
Logic Array Blocks
Each logic array block (LAB) consists of eight adaptive logic modules (ALMs), carry chains, shared arithmetic chains, LAB control signals, local interconnects, and register chain connection lines. The local interconnect transfers signals between ALMs in the same LAB. Register chain connections transfer the output of an ALM register to the adjacent ALM register in a LAB. The Quartus II Compiler places associated logic in a LAB or adjacent LABs, allowing the use of local, shared arithmetic chain, and register chain connections for performance and area efficiency. Table 2-17 shows Stratix II GX device resources. Figure 2-32 shows the Stratix II GX LAB structure.
Table 2-17. Stratix II GX Device Resources Device
EP2SGX30 EP2SGX60 EP2SGX90 EP2SGX130
M512 RAM M4K RAM Columns/Blocks Columns/Blocks
6/202 7/329 8/488 9/699 4/144 5/255 6/408 7/609
M-RAM Blocks
1 2 4 6
DSP Block Columns/Blocks
2/16 3/36 3/48 3/63
LAB Columns
49 62 71 81
LAB Rows
36 51 68 87
2-44 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-32. Stratix II GX LAB Structure
Row Interconnects of Variable Speed & Length
ALMs
Direct link interconnect from adjacent block Direct link interconnect from adjacent block
Direct link interconnect to adjacent block
Direct link interconnect to adjacent block
Local Interconnect
LAB
Local Interconnect is Driven from Either Side by Columns & LABs, & from Above by Rows
Column Interconnects of Variable Speed & Length
LAB Interconnects
The LAB local interconnect can drive all eight ALMs in the same LAB. It is driven by column and row interconnects and ALM outputs in the same LAB. Neighboring LABs, M512 RAM blocks, M4K RAM blocks, M-RAM blocks, or digital signal processing (DSP) blocks from the left and right can also drive a LAB's local interconnect through the direct link connection. The direct link connection feature minimizes the use of row and column interconnects, providing higher performance and flexibility. Each ALM can drive 24 ALMs through fast local and direct link interconnects.
Altera Corporation October 2007
2-45 Stratix II GX Device Handbook, Volume 1
Logic Array Blocks
Figure 2-33 shows the direct link connection. Figure 2-33. Direct Link Connection
Direct link interconnect from left LAB, TriMatrixTM memory block, DSP block, or input/output element (IOE) Direct link interconnect from right LAB, TriMatrix memory block, DSP block, or IOE output
ALMs
Direct link interconnect to left Local Interconnect
Direct link interconnect to right
LAB
LAB Control Signals
Each LAB contains dedicated logic for driving control signals to its ALMs. The control signals include three clocks, three clock enables, two asynchronous clears, synchronous clear, asynchronous preset/load, and synchronous load control signals, providing a maximum of 11 control signals at a time. Although synchronous load and clear signals are generally used when implementing counters, they can also be used with other functions. Each LAB can use three clocks and three clock enable signals. However, there can only be up to two unique clocks per LAB, as shown in the LAB control signal generation circuit in Figure 2-34. Each LAB's clock and clock enable signals are linked. For example, any ALM in a particular LAB using the labclk1 signal also uses labclkena1. If the LAB uses both the rising and falling edges of a clock, it also uses two LAB-wide clock signals. De-asserting the clock enable signal turns off the corresponding LAB-wide clock. Each LAB can use two asynchronous clear signals and an asynchronous load/preset signal. The asynchronous
2-46 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
load acts as a preset when the asynchronous load data input is tied high. When the asynchronous load/preset signal is used, the labclkena0 signal is no longer available. The LAB row clocks [5..0] and LAB local interconnect generate the LAB-wide control signals. The MultiTrackTM interconnects have inherently low skew. This low skew allows the MultiTrack interconnects to distribute clock and control signals in addition to data. Figure 2-34 shows the LAB control signal generation circuit. Figure 2-34. LAB-Wide Control Signals
There are two unique clock signals per LAB.
6 Dedicated Row LAB Clocks 6
6
Local Interconnect
Local Interconnect
Local Interconnect
Local Interconnect
Local Interconnect
Local Interconnect
labclk0 labclkena0 or asyncload or labpreset
labclk1 labclkena1
labclk2 labclkena2
syncload labclr0
labclr1 synclr
Altera Corporation October 2007
2-47 Stratix II GX Device Handbook, Volume 1
Adaptive Logic Modules
Adaptive Logic Modules
The basic building block of logic in the Stratix II GX architecture is the ALM. The ALM provides advanced features with efficient logic utilization. Each ALM contains a variety of look-up table (LUT)-based resources that can be divided between two adaptive LUTs (ALUTs). With up to eight inputs to the two ALUTs, one ALM can implement various combinations of two functions. This adaptability allows the ALM to be completely backward-compatible with four-input LUT architectures. One ALM can also implement any function of up to six inputs and certain seven-input functions. In addition to the adaptive LUT-based resources, each ALM contains two programmable registers, two dedicated full adders, a carry chain, a shared arithmetic chain, and a register chain. Through these dedicated resources, the ALM can efficiently implement various arithmetic functions and shift registers. Each ALM drives all types of interconnects: local, row, column, carry chain, shared arithmetic chain, register chain, and direct link interconnects. Figure 2-35 shows a high-level block diagram of the Stratix II GX ALM while Figure 2-36 shows a detailed view of all the connections in the ALM.
Figure 2-35. High-Level Block Diagram of the Stratix II GX ALM
carry_in shared_arith_in reg_chain_in To general or local routing adder0
D Q
dataf0 datae0 dataa datab datac datad datae1 dataf1 reg1 Combinational Logic adder1
D Q
To general or local routing
reg0
To general or local routing
To general or local routing carry_out shared_arith_out reg_chain_out
2-48 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Altera Corporation October 2007
shared_arith_in carry_in syncload ena[2..0] reg_chain_in sclr asyncload dataf0 datae0 4-Input LUT Row, column & direct link routing
ENA CLRN
Local Interconnect
Figure 2-36. Stratix II GX ALM Details
Local Interconnect
Local Interconnect datac 3-Input LUT
PRN/ALD D Q ADATA
Row, column & direct link routing Local Interconnect
Local Interconnect 3-Input LUT
dataa
Local Interconnect 4-Input LUT
datab
Local Interconnect
datad 3-Input LUT
PRN/ALD Q D ADATA ENA CLRN
Row, column & direct link routing Row, column & direct link routing Local Interconnect VCC
3-Input LUT
Local Interconnect dataf1
datae1
Local Interconnect
carry_out shared_arith_out
reg_chain_out
clk[2..0] aclr[1..0]
2-49 Stratix II GX Device Handbook, Volume 1
Stratix II GX Architecture
Adaptive Logic Modules
One ALM contains two programmable registers. Each register has data, clock, clock enable, synchronous and asynchronous clear, asynchronous load data, and synchronous and asynchronous load/preset inputs. Global signals, general-purpose I/O pins, or any internal logic can drive the register's clock and clear control signals. Either general-purpose I/O pins or internal logic can drive the clock enable, preset, asynchronous load, and asynchronous load data. The asynchronous load data input comes from the datae or dataf input of the ALM, which are the same inputs that can be used for register packing. For combinational functions, the register is bypassed and the output of the LUT drives directly to the outputs of the ALM. Each ALM has two sets of outputs that drive the local, row, and column routing resources. The LUT, adder, or register output can drive these output drivers independently (see Figure 2-36). For each set of output drivers, two ALM outputs can drive column, row, or direct link routing connections, and one of these ALM outputs can also drive local interconnect resources. This allows the LUT or adder to drive one output while the register drives another output. This feature, called register packing, improves device utilization because the device can use the register and the combinational logic for unrelated functions. Another special packing mode allows the register output to feed back into the LUT of the same ALM so that the register is packed with its own fan-out LUT. This feature provides another mechanism for improved fitting. The ALM can also drive out registered and unregistered versions of the LUT or adder output.
f
See the Stratix II Performance and Logic Efficiency Analysis White Paper for more information on the efficiencies of the Stratix II GX ALM and comparisons with previous architectures.
ALM Operating Modes
The Stratix II GX ALM can operate in one of the following modes:

Normal mode Extended LUT mode Arithmetic mode Shared arithmetic mode
Each mode uses ALM resources differently. Each mode has 11 available inputs to the ALM (see Figure 2-35)--the eight data inputs from the LAB local interconnect; carry-in from the previous ALM or LAB; the shared arithmetic chain connection from the previous ALM or LAB; and the register chain connection--are directed to different destinations to implement the desired logic function. LAB-wide signals provide clock,
2-50 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
asynchronous clear, asynchronous preset/load, synchronous clear, synchronous load, and clock enable control for the register. These LAB wide signals are available in all ALM modes. Refer to "LAB Control Signals" on page 2-46 for more information on the LAB-wide control signals. The Quartus II software and supported third-party synthesis tools, in conjunction with parameterized functions such as library of parameterized modules (LPM) functions, automatically choose the appropriate mode for common functions such as counters, adders, subtractors, and arithmetic functions. If required, you can also create special-purpose functions that specify which ALM operating mode to use for optimal performance.
Normal Mode
The normal mode is suitable for general logic applications and combinational functions. In this mode, up to eight data inputs from the LAB local interconnect are inputs to the combinational logic. The normal mode allows two functions to be implemented in one Stratix II GX ALM, or an ALM to implement a single function of up to six inputs. The ALM can support certain combinations of completely independent functions and various combinations of functions which have common inputs. Figure 2-37 shows the supported LUT combinations in normal mode.
Altera Corporation October 2007
2-51 Stratix II GX Device Handbook, Volume 1
Adaptive Logic Modules
Figure 2-37. ALM in Normal Mode
Note (1)
dataf0 datae0 datac dataa datab datad datae1 dataf1
4-Input LUT
combout0
dataf0 datae0 datac dataa datab
5-Input LUT
combout0
4-Input LUT
combout1 datad datae1 dataf1
5-Input LUT
combout1
dataf0 datae0 datac dataa datab
5-Input LUT
combout0
datad datae1 dataf1
dataf0 datae0 dataa datab datac datad
6-Input LUT
combout0
3-Input LUT
combout1
dataf0 datae0 datac dataa datab
5-Input LUT
combout0
dataf0 datae0 dataa datab datac datad
6-Input LUT
combout0
datad datae1 dataf1
4-Input LUT
combout1
datae1 dataf1
6-Input LUT
combout1
Note to Figure 2-37:
(1) Combinations of functions with less inputs than those shown are also supported. For example, combinations of functions with the following number of inputs are supported: 4 and 3, 3 and 3, 3 and 2, 5 and 2, etc.
The normal mode provides complete backward compatibility with four-input LUT architectures. Two independent functions of four inputs or less can be implemented in one Stratix II GX ALM. In addition, a five-input function and an independent three-input function can be implemented without sharing inputs.
2-52 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
To pack two five-input functions into one ALM, the functions must have at least two common inputs. The common inputs are dataa and datab. The combination of a four-input function with a five-input function requires one common input (either dataa or datab). To implement two six-input functions in one ALM, four inputs must be shared and the combinational function must be the same. For example, a 4 x 2 crossbar switch (two 4-to-1 multiplexers with common inputs and unique select lines) can be implemented in one ALM, as shown in Figure 2-38. The shared inputs are dataa, datab, datac, and datad, while the unique select lines are datae0 and dataf0 for function0, and datae1 and dataf1 for function1. This crossbar switch consumes four LUTs in a four-input LUT-based architecture. Figure 2-38. 4 x 2 Crossbar Switch Example
4 x 2 Crossbar Switch sel0[1..0] inputa inputb inputc inputd out1 sel1[1..0] datae1 dataf1 Six-Input LUT (Function1) out0 dataf0 datae0 dataa datab datac datad Implementation in 1 ALM
Six-Input LUT (Function0)
combout0
combout1
In a sparsely used device, functions that could be placed into one ALM can be implemented in separate ALMs. The Quartus II Compiler spreads a design out to achieve the best possible performance. As a device begins to fill up, the Quartus II software automatically utilizes the full potential of the Stratix II GX ALM. The Quartus II Compiler automatically searches for functions of common inputs or completely independent functions to be placed into one ALM and to make efficient use of the device resources. In addition, you can manually control resource usage by setting location assignments. Any six-input function can be implemented utilizing inputs dataa, datab, datac, datad, and either datae0 and dataf0 or datae1 and dataf1. If datae0 and dataf0 are utilized, the output is driven to register0, and/or register0 is bypassed and the data drives out to the interconnect using the top set of output drivers (see Figure 2-39). If datae1 and dataf1 are utilized, the output drives to register1 and/or bypasses register1 and drives to the interconnect
Altera Corporation October 2007
2-53 Stratix II GX Device Handbook, Volume 1
Adaptive Logic Modules
using the bottom set of output drivers. The Quartus II Compiler automatically selects the inputs to the LUT. Asynchronous load data for the register comes from the datae or dataf input of the ALM. ALMs in normal mode support register packing. Figure 2-39. 6-Input Function in Normal Mode
dataf0 datae0 dataa datab datac datad datae1 dataf1 (2)
Notes (1), (2)
To general or local routing
6-Input LUT
D
Q
To general or local routing
reg0
D
Q
To general or local routing
These inputs are available for register packing.
reg1
Notes to Figure 2-39:
(1) (2) If datae1 and dataf1 are used as inputs to the six-input function, datae0 and dataf0 are available for register packing. The dataf1 input is available for register packing only if the six-input function is un-registered.
Extended LUT Mode
The extended LUT mode is used to implement a specific set of seven-input functions. The set must be a 2-to-1 multiplexer fed by two arbitrary five-input functions sharing four inputs. Figure 2-40 shows the template of supported seven-input functions utilizing extended LUT mode. In this mode, if the seven-input function is unregistered, the unused eighth input is available for register packing. Functions that fit into the template shown in Figure 2-40 occur naturally in designs. These functions often appear in designs as "if-else" statements in Verilog HDL or VHDL code.
2-54 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-40. Template for Supported Seven-Input Functions in Extended LUT Mode
datae0 datac dataa datab datad dataf0 5-Input LUT combout0
D Q
To general or local routing To general or local routing
5-Input LUT datae1 dataf1 (1)
reg0
This input is available for register packing.
Note to Figure 2-40:
(1) If the seven-input function is un-registered, the unused eighth input is available for register packing. The second register, reg1, is not available.
Arithmetic Mode
The arithmetic mode is ideal for implementing adders, counters, accumulators, wide parity functions, and comparators. An ALM in arithmetic mode uses two sets of two four-input LUTs along with two dedicated full adders. The dedicated adders allow the LUTs to be available to perform pre-adder logic; therefore, each adder can add the output of two four-input functions. The four LUTs share the dataa and datab inputs. As shown in Figure 2-41, the carry-in signal feeds to adder0, and the carry-out from adder0 feeds to carry-in of adder1. The carry-out from adder1 drives to adder0 of the next ALM in the LAB. ALMs in arithmetic mode can drive out registered and/or un-registered versions of the adder outputs.
Altera Corporation October 2007
2-55 Stratix II GX Device Handbook, Volume 1
Adaptive Logic Modules
Figure 2-41. ALM in Arithmetic Mode
carry_in
datae0 4-Input LUT
adder0
To general or local routing
D Q
dataf0 datac datab dataa
To general or local routing
4-Input LUT
reg0
adder1
datad datae1 4-Input LUT
D Q
To general or local routing To general or local routing
4-Input LUT dataf1 carry_out
reg1
While operating in arithmetic mode, the ALM can support simultaneous use of the adder's carry output along with combinational logic outputs. In this operation, the adder output is ignored. This usage of the adder with the combinational logic output provides resource savings of up to 50% for functions that can use this ability. An example of such functionality is a conditional operation, such as the one shown in Figure 2-42. The equation for this example is: R = (X < Y) ? Y : X To implement this function, the adder is used to subtract `Y' from `X'. If `X' is less than `Y', the carry_out signal will be `1'. The carry_out signal is fed to an adder where it drives out to the LAB local interconnect. It then feeds to the LAB-wide syncload signal. When asserted, syncload selects the syncdata input. In this case, the data `Y' drives the syncdata inputs to the registers. If `X' is greater than or equal to `Y', the syncload signal is de-asserted and `X' drives the data port of the registers.
2-56 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-42. Conditional Operation Example
Adder output is not used.
ALM 1 X[0] Y[0] Comb & Adder Logic X[0] R[0]
D Q
To general or local routing
syncdata
X[1] Y[1] Comb & Adder Logic X[1]
reg0 syncload
D
Q
R[1]
To general or local routing
reg1
Carry Chain
ALM 2 X[2] Y[2] Comb & Adder Logic
syncload
X[2]
D Q
R[2]
To general or local routing
reg0 syncload Comb & Adder Logic carry_out To local routing & then to LAB-wide syncload
The arithmetic mode also offers clock enable, counter enable, synchronous up and down control, add and subtract control, synchronous clear, synchronous load. The LAB local interconnect data inputs generate the clock enable, counter enable, synchronous up and down and add and subtract control signals. These control signals may be used for the inputs that are shared between the four LUTs in the ALM. The synchronous clear and synchronous load options are LAB-wide signals that affect all registers in the LAB. The Quartus II software automatically places any registers that are not used by the counter into other LABs.
Altera Corporation October 2007
2-57 Stratix II GX Device Handbook, Volume 1
Adaptive Logic Modules
Carry Chain
The carry chain provides a fast carry function between the dedicated adders in arithmetic or shared arithmetic mode. Carry chains can begin in either the first ALM or the fifth ALM in a LAB. The final carry-out signal is routed to an ALM, where it is fed to local, row, or column interconnects. The Quartus II Compiler automatically creates carry chain logic during compilation, or you can create it manually during design entry. Parameterized functions, such as LPM functions, automatically take advantage of carry chains for the appropriate functions. The Quartus II Compiler creates carry chains longer than 16 (8 ALMs in arithmetic or shared arithmetic mode) by linking LABs together automatically. For enhanced fitting, a long carry chain runs vertically, allowing fast horizontal connections to TriMatrix memory and DSP blocks. A carry chain can continue as far as a full column. To avoid routing congestion in one small area of the device when a high fan-in arithmetic function is implemented, the LAB can support carry chains that only utilize either the top half or the bottom half of the LAB before connecting to the next LAB. The other half of the ALMs in the LAB is available for implementing narrower fan-in functions in normal mode. Carry chains that use the top four ALMs in the first LAB will carry into the top half of the ALMs in the next LAB within the column. Carry chains that use the bottom four ALMs in the first LAB will carry into the bottom half of the ALMs in the next LAB within the column. Every other column of the LABs are top-half bypassable, while the other LAB columns are bottom-half bypassable. Refer to "MultiTrack Interconnect" on page 2-63 for more information on carry chain interconnect.
Shared Arithmetic Mode
In shared arithmetic mode, the ALM can implement a three-input add. In this mode, the ALM is configured with four 4-input LUTs. Each LUT either computes the sum of three inputs or the carry of three inputs. The output of the carry computation is fed to the next adder (either to adder1 in the same ALM or to adder0 of the next ALM in the LAB) using a dedicated connection called the shared arithmetic chain. This shared arithmetic chain can significantly improve the performance of an adder tree by reducing the number of summation stages required to implement an adder tree. Figure 2-43 shows the ALM in shared arithmetic mode.
2-58 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-43. ALM in Shared Arithmetic Mode
shared_arith_in carry_in
4-Input LUT
D Q
To general or local routing To general or local routing
datae0 datac datab dataa
4-Input LUT
reg0
datad datae1
4-Input LUT
D Q
To general or local routing To general or local routing
4-Input LUT
reg1
carry_out shared_arith_out
Note to Figure 2-43:
(1) Inputs dataf0 and dataf1 are available for register packing in shared arithmetic mode.
Adder trees are used in many different applications. For example, the summation of the partial products in a logic-based multiplier can be implemented in a tree structure. Another example is a correlator function that can use a large adder tree to sum filtered data samples in a given time frame to recover or to de-spread data which was transmitted utilizing spread spectrum technology. An example of a three-bit add operation utilizing the shared arithmetic mode is shown in Figure 2-44. The partial sum (S[2..0]) and the partial carry (C[2..0]) is obtained using the LUTs, while the result (R[2..0]) is computed using the dedicated adders.
Altera Corporation October 2007
2-59 Stratix II GX Device Handbook, Volume 1
Adaptive Logic Modules
Figure 2-44. Example of a 3-Bit Add Utilizing Shared Arithmetic Mode
shared_arith_in = '0' carry_in = '0' 3-Bit Add Example X2 X1 X0 Y2 Y1 Y0 + Z2 Z1 Z0 S2 S1 S0 + C2 C1 C0 R3 R2 R1 R0 X0 Y0 Z0 X1 Y1 Z1 ALM Implementation ALM 1
1st stage add is implemented in LUTs. 2nd stage add is implemented in adders.
3-Input LUT
S0 R0
3-Input LUT
C0
Binary Add 110 101 +010 001 +110 1101
Decimal Equivalents 6 5 +2 1 + 2x6 13
3-Input LUT
S1
R1 3-Input LUT ALM 2 3-Input LUT S2 R2 X2 Y2 Z2 3-Input LUT C2 C1
3-Input LUT
'0' R3
3-Input LUT
Shared Arithmetic Chain
In addition to the dedicated carry chain routing, the shared arithmetic chain available in shared arithmetic mode allows the ALM to implement a three-input add, which significantly reduces the resources necessary to implement large adder trees or correlator functions. The shared arithmetic chains can begin in either the first or fifth ALM in a LAB. The Quartus II Compiler automatically links LABs to create shared arithmetic chains longer than 16 (8 ALMs in arithmetic or shared arithmetic mode). For enhanced fitting, a long shared arithmetic chain runs vertically
2-60 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
allowing fast horizontal connections to TriMatrix memory and DSP blocks. A shared arithmetic chain can continue as far as a full column. Similar to the carry chains, the shared arithmetic chains are also top- or bottom-half bypassable. This capability allows the shared arithmetic chain to cascade through half of the ALMs in a LAB while leaving the other half available for narrower fan-in functionality. Every other LAB column is top-half bypassable, while the other LAB columns are bottom-half bypassable. Refer to "MultiTrack Interconnect" on page 2-63 for more information on shared arithmetic chain interconnect.
Register Chain
In addition to the general routing outputs, the ALMs in a LAB have register chain outputs. The register chain routing allows registers in the same LAB to be cascaded together. The register chain interconnect allows a LAB to use LUTs for a single combinational function and the registers to be used for an unrelated shift register implementation. These resources speed up connections between ALMs while saving local interconnect resources (see Figure 2-45). The Quartus II Compiler automatically takes advantage of these resources to improve utilization and performance. See "MultiTrack Interconnect" on page 2-63 for more information about register chain interconnect.
Altera Corporation October 2007
2-61 Stratix II GX Device Handbook, Volume 1
Adaptive Logic Modules
Figure 2-45. Register Chain within a LAB
Note (1)
From Previous ALM Within The LAB
reg_chain_in To general or local routing adder0
D Q
To general or local routing
reg0 Combinational Logic adder1
D Q
To general or local routing
reg1 To general or local routing
To general or local routing adder0
D Q
To general or local routing
reg0 Combinational Logic adder1
D Q
To general or local routing
reg1 To general or local routing
reg_chain_out
To Next ALM within the LAB
Note to Figure 2-45:
(1) The combinational or adder logic can be utilized to implement an unrelated, un-registered function.
2-62 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Clear and Preset Logic Control
LAB-wide signals control the logic for the register's clear and load/preset signals. The ALM directly supports an asynchronous clear and preset function. The register preset is achieved through the asynchronous load of a logic high. The direct asynchronous preset does not require a NOT gate push-back technique. Stratix II GX devices support simultaneous asynchronous load/preset and clear signals. An asynchronous clear signal takes precedence if both signals are asserted simultaneously. Each LAB supports up to two clears and one load/preset signal. In addition to the clear and load/preset ports, Stratix II GX devices provide a device-wide reset pin (DEV_CLRn) that resets all registers in the device. An option set before compilation in the Quartus II software controls this pin. This device-wide reset overrides all other control signals.
MultiTrack Interconnect
In the Stratix II GX architecture, the MultiTrack interconnect structure with DirectDrive technology provides connections between ALMs, TriMatrix memory, DSP blocks, and device I/O pins. The MultiTrack interconnect consists of continuous, performance-optimized routing lines of different lengths and speeds used for inter- and intra-design block connectivity. The Quartus II Compiler automatically places critical design paths on faster interconnects to improve design performance. DirectDrive technology is a deterministic routing technology that ensures identical routing resource usage for any function regardless of placement in the device. The MultiTrack interconnect and DirectDrive technology simplify the integration stage of block-based designing by eliminating the re-optimization cycles that typically follow design changes and additions. The MultiTrack interconnect consists of row and column interconnects that span fixed distances. A routing structure with fixed length resources for all devices allows predictable and repeatable performance when migrating through different device densities. Dedicated row interconnects route signals to and from LABs, DSP blocks, and TriMatrix memory in the same row. These row resources include:

Direct link interconnects between LABs and adjacent blocks R4 interconnects traversing four blocks to the right or left R24 row interconnects for high-speed access across the length of the device
Altera Corporation October 2007
2-63 Stratix II GX Device Handbook, Volume 1
MultiTrack Interconnect
The direct link interconnect allows a LAB, DSP block, or TriMatrix memory block to drive into the local interconnect of its left and right neighbors and then back into itself, providing fast communication between adjacent LABs and/or blocks without using row interconnect resources. The R4 interconnects span four LABs, three LABs and one M512 RAM block, two LABs and one M4K RAM block, or two LABs and one DSP block to the right or left of a source LAB. These resources are used for fast row connections in a four-LAB region. Every LAB has its own set of R4 interconnects to drive either left or right. Figure 2-46 shows R4 interconnect connections from a LAB. R4 interconnects can drive and be driven by DSP blocks and RAM blocks and row IOEs. For LAB interfacing, a primary LAB or LAB neighbor can drive a given R4 interconnect. For R4 interconnects that drive to the right, the primary LAB and right neighbor can drive onto the interconnect. For R4 interconnects that drive to the left, the primary LAB and its left neighbor can drive onto the interconnect. R4 interconnects can drive other R4 interconnects to extend the range of LABs they can drive. R4 interconnects can also drive C4 and C16 interconnects for connections from one row to another. Additionally, R4 interconnects can drive R24 interconnects. Figure 2-46. R4 Interconnect Connections Notes (1), (2), (3)
C4 and C16 Column Interconnects (1) R4 Interconnect Driving Right
Adjacent LAB can Drive onto Another LAB's R4 Interconnect R4 Interconnect Driving Left
LAB Neighbor
Primary LAB (2)
LAB Neighbor
Notes to Figure 2-46:
(1) (2) (3) C4 and C16 interconnects can drive R4 interconnects. This pattern is repeated for every LAB in the LAB row. The LABs in Figure 2-46 show the 16 possible logical outputs per LAB.
2-64 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
R24 row interconnects span 24 LABs and provide the fastest resource for long row connections between LABs, TriMatrix memory, DSP blocks, and Row IOEs. The R24 row interconnects can cross M-RAM blocks. R24 row interconnects drive to other row or column interconnects at every fourth LAB and do not drive directly to LAB local interconnects. R24 row interconnects drive LAB local interconnects via R4 and C4 interconnects. R24 interconnects can drive R24, R4, C16, and C4 interconnects. The column interconnect operates similarly to the row interconnect and vertically routes signals to and from LABs, TriMatrix memory, DSP blocks, and IOEs. Each column of LABs is served by a dedicated column interconnect. These column resources include:

Shared arithmetic chain interconnects in a LAB Carry chain interconnects in a LAB and from LAB to LAB Register chain interconnects in a LAB C4 interconnects traversing a distance of four blocks in an up and down direction C16 column interconnects for high-speed vertical routing through the device
Stratix II GX devices include an enhanced interconnect structure in LABs for routing shared arithmetic chains and carry chains for efficient arithmetic functions. The register chain connection allows the register output of one ALM to connect directly to the register input of the next ALM in the LAB for fast shift registers. These ALM-to-ALM connections bypass the local interconnect. The Quartus II Compiler automatically takes advantage of these resources to improve utilization and performance. Figure 2-47 shows the shared arithmetic chain, carry chain, and register chain interconnects.
Altera Corporation October 2007
2-65 Stratix II GX Device Handbook, Volume 1
MultiTrack Interconnect
Figure 2-47. Shared Arithmetic Chain, Carry Chain and Register Chain Interconnects
Local Interconnect Routing Among ALMs in the LAB Carry Chain & Shared Arithmetic Chain Routing to Adjacent ALM
ALM 1
ALM 2
Register Chain Routing to Adjacent ALM's Register Input
Local Interconnect
ALM 3 ALM 4 ALM 5 ALM 6 ALM 7
ALM 8
The C4 interconnects span four LABs, M512, or M4K blocks up or down from a source LAB. Every LAB has its own set of C4 interconnects to drive either up or down. Figure 2-48 shows the C4 interconnect connections from a LAB in a column. The C4 interconnects can drive and be driven by all types of architecture blocks, including DSP blocks, TriMatrix memory blocks, and column and row IOEs. For LAB interconnection, a primary LAB or its LAB neighbor can drive a given C4 interconnect. C4 interconnects can drive each other to extend their range as well as drive row interconnects for column-to-column connections.
2-66 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-48. C4 Interconnect Connections
Note (1)
C4 Interconnect Drives Local and R4 Interconnects up to Four Rows
C4 Interconnect Driving Up
LAB
Row Interconnect
Adjacent LAB can drive onto neighboring LAB's C4 interconnect
Local Interconnect
C4 Interconnect Driving Down
Note to Figure 2-48:
(1) Each C4 interconnect can drive either up or down four rows.
Altera Corporation October 2007
2-67 Stratix II GX Device Handbook, Volume 1
MultiTrack Interconnect
C16 column interconnects span a length of 16 LABs and provide the fastest resource for long column connections between LABs, TriMatrix memory blocks, DSP blocks, and IOEs. C16 interconnects can cross M-RAM blocks and also drive to row and column interconnects at every fourth LAB. C16 interconnects drive LAB local interconnects via C4 and R4 interconnects and do not drive LAB local interconnects directly. All embedded blocks communicate with the logic array similar to LAB-to-LAB interfaces. Each block (that is, TriMatrix memory and DSP blocks) connects to row and column interconnects and has local interconnect regions driven by row and column interconnects. These blocks also have direct link interconnects for fast connections to and from a neighboring LAB. All blocks are fed by the row LAB clocks, labclk[5..0]. Table 2-18 shows the Stratix II GX device's routing scheme.
Table 2-18. Stratix II GX Device Routing Scheme (Part 1 of 2) Destination Shared Arithmetic Chain Direct Link Interconnect Local Interconnect M512 RAM Block R24 Interconnect C16 Interconnect
M4K RAM Block
R4 Interconnect
C4 Interconnect
Register Chain
M-RAM Block
Carry Chain
Column IOE
DSP Blocks
Shared arithmetic chain Carry chain Register chain Local interconnect Direct link interconnect R4 interconnect R24 interconnect C4 interconnect C16 interconnect ALM M512 RAM block M4K RAM block M-RAM block DSP blocks
v v v vvvvvvv v v v vvvv vvvv v v v v v v vvvv vvvvvv vvv vvv vv
vvvv
2-68 Stratix II GX Device Handbook, Volume 1
ALM
Source
Altera Corporation October 2007
Row IOE
Stratix II GX Architecture
Table 2-18. Stratix II GX Device Routing Scheme (Part 2 of 2) Destination Shared Arithmetic Chain Direct Link Interconnect Local Interconnect M512 RAM Block R24 Interconnect C16 Interconnect
M4K RAM Block
R4 Interconnect
C4 Interconnect
Register Chain
M-RAM Block
Carry Chain
Column IOE
DSP Blocks
Column IOE Row IOE
v
vv
vvvv
TriMatrix Memory
TriMatrix memory consists of three types of RAM blocks: M512, M4K, and M-RAM. Although these memory blocks are different, they can all implement various types of memory with or without parity, including true dual-port, simple dual-port, and single-port RAM, ROM, and FIFO buffers. Table 2-19 shows the size and features of the different RAM blocks.
Table 2-19. TriMatrix Memory Features (Part 1 of 2) Memory Feature
Maximum performance True dual-port memory Simple dual-port memory Single-port memory Shift register ROM FIFO buffer Pack mode Byte enable Address clock enable Parity bits Mixed clock mode Memory initialization (.mif)
M512 RAM Block (32 x 18 Bits)
500 MHz
M4K RAM Block (128 x 36 Bits)
550 MHz
ALM
Source
M-RAM Block (4K x 144 Bits)
420 MHz
v v v v v v v v v v v v v v v v v v v v v
v v v
(1)
v v v v v v
Altera Corporation October 2007
2-69 Stratix II GX Device Handbook, Volume 1
Row IOE
TriMatrix Memory
Table 2-19. TriMatrix Memory Features (Part 2 of 2) Memory Feature
Simple dual-port memory mixed width support True dual-port memory mixed width support Power-up conditions Register clears Mixed-port read-during-write Configurations Outputs cleared Output registers
M512 RAM Block (32 x 18 Bits) v
M4K RAM Block (128 x 36 Bits) v v
Outputs cleared Output registers
M-RAM Block (4K x 144 Bits) v v
Outputs unknown Output registers Unknown output 64K x 8 64K x 9 32K x 16 32K x 18 16K x 32 16K x 36 8K x 64 8K x 72 4K x 128 4K x 144
Unknown output/old data Unknown output/old data 512 x 1 256 x 2 128 x 4 64 x 8 64 x 9 32 x 16 32 x 18 4K x 1 2K x 2 1K x 4 512 x 8 512 x 9 256 x 16 256 x 18 128 x 32 128 x 36
Note to Table 2-19:
(1) Violating the setup or hold time on the memory block address registers could corrupt memory contents. This applies to both read and write operations.
TriMatrix memory provides three different memory sizes for efficient application support. The Quartus II software automatically partitions the user-defined memory into the embedded memory blocks using the most efficient size combinations. You can also manually assign the memory to a specific block size or a mixture of block sizes.
M512 RAM Block
The M512 RAM block is a simple dual-port memory block and is useful for implementing small FIFO buffers, DSP, and clock domain transfer applications. Each block contains 576 RAM bits (including parity bits). M512 RAM blocks can be configured in the following modes:

Simple dual-port RAM Single-port RAM FIFO ROM Shift register
When configured as RAM or ROM, you can use an initialization file to pre-load the memory contents.
2-70 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
M512 RAM blocks can have different clocks on its inputs and outputs. The wren, datain, and write address registers are all clocked together from one of the two clocks feeding the block. The read address, rden, and output registers can be clocked by either of the two clocks driving the block, allowing the RAM block to operate in read and write or input and output clock modes. Only the output register can be bypassed. The six labclk signals or local interconnect can drive the inclock, outclock, wren, rden, and outclr signals. Because of the advanced interconnect between the LAB and M512 RAM blocks, ALMs can also control the wren and rden signals and the RAM clock, clock enable, and asynchronous clear signals. Figure 2-49 shows the M512 RAM block control signal generation logic. Figure 2-49. M512 RAM Block Control Signals
Dedicated Row LAB Clocks Local Interconnect 6
Local Interconnect
Local Interconnect Local Interconnect
Local Interconnect Local Interconnect Local Interconnect Local Interconnect inclock
inclocken
outclocken
wren outclr
outclock
rden
Altera Corporation October 2007
2-71 Stratix II GX Device Handbook, Volume 1
TriMatrix Memory
The RAM blocks in Stratix II GX devices have local interconnects to allow ALMs and interconnects to drive into RAM blocks. The M512 RAM block local interconnect is driven by the R4, C4, and direct link interconnects from adjacent LABs. The M512 RAM blocks can communicate with LABs on either the left or right side through these row interconnects or with LAB columns on the left or right side with the column interconnects. The M512 RAM block has up to 16 direct link input connections from the left adjacent LABs and another 16 from the right adjacent LAB. M512 RAM outputs can also connect to left and right LABs through direct link interconnect. The M512 RAM block has equal opportunity for access and performance to and from LABs on either its left or right side. Figure 2-50 shows the M512 RAM block to logic array interface. Figure 2-50. M512 RAM Block LAB Row Interface
C4 Interconnect R4 Interconnect
Direct link interconnect to adjacent LAB
16 36 dataout
Direct link interconnect to adjacent LAB
Direct link interconnect from adjacent LAB
M4K RAM Block datain control signals clocks byte enable
Direct link interconnect from adjacent LAB
address
6
M4K RAM Block Local Interconnect Region
LAB Row Clocks
2-72 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
M4K RAM Blocks
The M4K RAM block includes support for true dual-port RAM. The M4K RAM block is used to implement buffers for a wide variety of applications such as storing processor code, implementing lookup schemes, and implementing larger memory applications. Each block contains 4,608 RAM bits (including parity bits). M4K RAM blocks can be configured in the following modes:

True dual-port RAM Simple dual-port RAM Single-port RAM FIFO ROM Shift register
When configured as RAM or ROM, you can use an initialization file to pre-load the memory contents. The M4K RAM blocks allow for different clocks on their inputs and outputs. Either of the two clocks feeding the block can clock M4K RAM block registers (renwe, address, byte enable, datain, and output registers). Only the output register can be bypassed. The six labclk signals or local interconnects can drive the control signals for the A and B ports of the M4K RAM block. ALMs can also control the clock_a, clock_b, renwe_a, renwe_b, clr_a, clr_b, clocken_a, and clocken_b signals, as shown in Figure 2-51.
Altera Corporation October 2007
2-73 Stratix II GX Device Handbook, Volume 1
TriMatrix Memory
Figure 2-51. M4K RAM Block Control Signals
Dedicated Row LAB Clocks Local Interconnect Local Interconnect Local Interconnect Local Interconnect Local Interconnect Local Interconnect Local Interconnect Local Interconnect 6
clock_b
clocken_b renwe_a
renwe_b aclr_a
aclr_b
clock_a
clocken_a
The R4, C4, and direct link interconnects from adjacent LABs drive the M4K RAM block local interconnect. The M4K RAM blocks can communicate with LABs on either the left or right side through these row resources or with LAB columns on either the right or left with the column resources. Up to 16 direct link input connections to the M4K RAM block are possible from the left adjacent LABs and another 16 possible from the right adjacent LAB. M4K RAM block outputs can also connect to left and right LABs through direct link interconnect. Figure 2-52 shows the M4K RAM block to logic array interface.
2-74 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-52. M4K RAM Block LAB Row Interface
C4 Interconnect R4 Interconnect
Direct link interconnect to adjacent LAB
16 36 dataout
Direct link interconnect to adjacent LAB
Direct link interconnect from adjacent LAB
M4K RAM Block datain control signals clocks byte enable
Direct link interconnect from adjacent LAB
address
6
M4K RAM Block Local Interconnect Region
LAB Row Clocks
M-RAM Block
The largest TriMatrix memory block, the M-RAM block, is useful for applications where a large volume of data must be stored on-chip. Each block contains 589,824 RAM bits (including parity bits). The M-RAM block can be configured in the following modes:

True dual-port RAM Simple dual-port RAM Single-port RAM FIFO
You cannot use an initialization file to initialize the contents of a M-RAM block. All M-RAM block contents power up to an undefined value. Only synchronous operation is supported in the M-RAM block, so all inputs are registered. Output registers can be bypassed.
Altera Corporation October 2007
2-75 Stratix II GX Device Handbook, Volume 1
TriMatrix Memory
Similar to all RAM blocks, M-RAM blocks can have different clocks on their inputs and outputs. Either of the two clocks feeding the block can clock M-RAM block registers (renwe, address, byte enable, datain, and output registers). The output register can be bypassed. The six labclk signals or local interconnect can drive the control signals for the A and B ports of the M-RAM block. ALMs can also control the clock_a, clock_b, renwe_a, renwe_b, clr_a, clr_b, clocken_a, and clocken_b signals, as shown in Figure 2-53. Figure 2-53. M-RAM Block Control Signals
Dedicated Row LAB Clocks Local Interconnect Local Interconnect Local Interconnect Local Interconnect Local Interconnect Local Interconnect clock_a clocken_a renwe_a aclr_b renwe_b clocken_b clock_b 6
Local Interconnect Local Interconnect Local Interconnect Local Interconnect Local Interconnect Local Interconnect
aclr_a
The R4, R24, C4, and direct link interconnects from adjacent LABs on either the right or left side drive the M-RAM block local interconnect. Up to 16 direct link input connections to the M-RAM block are possible from the left adjacent LABs and another 16 possible from the right adjacent LAB. M-RAM block outputs can also connect to left and right LABs through direct link interconnect. Figure 2-54 shows an example floorplan for the EP2SGX130 device and the location of the M-RAM interfaces. Figures 2-55 and 2-56 show the interface between the M-RAM block and the logic array.
2-76 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-54. EP2SGX130 Device with M-RAM Interface Locations
M-RAM blocks interface to LABs on right and left sides for easy access to horizontal I/O pins
Note (1)
M-RAM Block
M-RAM Block
M-RAM Block
M-RAM Block
M-RAM Block
M-RAM Block
M4K Blocks
M512 Blocks
DSP Blocks
LABs
DSP Blocks
Note to Figure 2-54:
(1) The device shown is an EP2SGX130 device. The number and position of M-RAM blocks varies in other devices.
Altera Corporation October 2007
2-77 Stratix II GX Device Handbook, Volume 1
TriMatrix Memory
Figure 2-55. M-RAM Block LAB Row Interface Note (1)
Row Unit Interface Allows LAB Rows to Drive Port A Datain, Dataout, Address and Control Signals to and from M-RAM Block Row Unit Interface Allows LAB Rows to Drive Port B Datain, Dataout, Address and Control Signals to and from M-RAM Block
L0 L1 M-RAM Block L2 Port A
R0 R1
Port B R2 R3 R4 R5
L3 L4 L5
LAB Interface Blocks LABs in Row M-RAM Boundary LABs in Row M-RAM Boundary
Note to Figure 2-55:
(1) Only R24 and C16 interconnects cross the M-RAM block boundaries.
2-78 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-56. M-RAM Row Unit Interface to Interconnect
C4 Interconnect R4 and R24 Interconnects
M-RAM Block
LAB
Up to 16 dataout_a[ ]
16
Direct Link Interconnects
Up to 28
datain_a[ ] addressa[ ] addr_ena_a renwe_a byteena_a[ ] clocken_a clock_a aclr_a
Row Interface Block
M-RAM Block to LAB Row Interface Block Interconnect Region
Altera Corporation October 2007
2-79 Stratix II GX Device Handbook, Volume 1
TriMatrix Memory
Table 2-20 shows the input and output data signal connections along with the address and control signal input connections to the row unit interfaces (L0 to L5 and R0 to R5).
Table 2-20. M-RAM Row Interface Unit Signals Unit Interface Block
L0 L1 L2
Input Signals
datain_a[14..0] byteena_a[1..0] datain_a[29..15] byteena_a[3..2] datain_a[35..30] addressa[4..0] addr_ena_a clock_a clocken_a renwe_a aclr_a addressa[15..5] datain_a[41..36] datain_a[56..42] byteena_a[5..4] datain_a[71..57] byteena_a[7..6] datain_b[14..0] byteena_b[1..0] datain_b[29..15] byteena_b[3..2] datain_b[35..30] addressb[4..0] addr_ena_b clock_b clocken_b renwe_b aclr_b addressb[15..5] datain_b[41..36] datain_b[56..42] byteena_b[5..4] datain_b[71..57] byteena_b[7..6]
Output Signals
dataout_a[11..0] dataout_a[23..12] dataout_a[35..24]
L3 L4 L5 R0 R1 R2
dataout_a[47..36] dataout_a[59..48] dataout_a[71..60] dataout_b[11..0] dataout_b[23..12] dataout_b[35..24]
R3 R4 R5
dataout_b[47..36] dataout_b[59..48] dataout_b[71..60]
f
Refer to the TriMatrix Embedded Memory Blocks in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook for more information on TriMatrix memory.
2-80 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Digital Signal Processing (DSP) Block
The most commonly used DSP functions are finite impulse response (FIR) filters, complex FIR filters, infinite impulse response (IIR) filters, fast Fourier transform (FFT) functions, direct cosine transform (DCT) functions, and correlators. All of these use the multiplier as the fundamental building block. Additionally, some applications need specialized operations such as multiply-add and multiply-accumulate operations. Stratix II GX devices provide DSP blocks to meet the arithmetic requirements of these functions. Each Stratix II GX device has two to four columns of DSP blocks to efficiently implement DSP functions faster than ALM-based implementations. Stratix II GX devices have up to 24 DSP blocks per column (see Table 2-21). Each DSP block can be configured to support up to:

Eight 9 x 9-bit multipliers Four 18 x 18-bit multipliers One 36 x 36-bit multiplier
As indicated, the Stratix II GX DSP block can support one 36 x 36-bit multiplier in a single DSP block, and is true for any combination of signed, unsigned, or mixed sign multiplications.
Altera Corporation October 2007
2-81 Stratix II GX Device Handbook, Volume 1
Digital Signal Processing (DSP) Block
Figures 2-57 shows one of the columns with surrounding LAB rows. Figure 2-57. DSP Blocks Arranged in Columns
DSP Block Column
4 LAB Rows
DSP Block
2-82 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Table 2-21 shows the number of DSP blocks in each Stratix II GX device. DSP block multipliers can optionally feed an adder/subtractor or accumulator in the block, depending on the configuration, which makes routing to ALMs easier, saves ALM routing resources, and increases performance because all connections and blocks are in the DSP block.
Table 2-21. DSP Blocks in Stratix II GX Devices Device
EP2SGX30 EP2SGX60 EP2SGX90 EP2SGX130 Note to Table 2-21:
(1)
Note (1) Total 36 x 36 Multipliers
16 36 48 63
DSP Blocks
16 36 48 63
Total 9 x 9 Multipliers
128 288 384 504
Total 18 x 18 Multipliers
64 144 192 252
This list only shows functions that can fit into a single DSP block. Multiple DSP blocks can support larger multiplication functions.
Additionally, the DSP block input registers can efficiently implement shift registers for FIR filter applications, and DSP blocks support Q1.15 format rounding and saturation. Figure 2-58 shows the top-level diagram of the DSP block configured for 18 x 18-bit multiplier mode.
Altera Corporation October 2007
2-83 Stratix II GX Device Handbook, Volume 1
Digital Signal Processing (DSP) Block
Figure 2-58. DSP Block Diagram for 18 x 18-Bit Configuration
Optional Serial Shift Register Inputs from Previous DSP Block
Multiplier Stage Optional Stage Configurable as Accumulator or Dynamic Adder/Subtractor
D Q
D
Q
Output Selection Multiplexer
ENA CLRN
D
Q
ENA CLRN
ENA CLRN
Adder/ Subtractor/ Accumulator 1
D
Q
ENA CLRN
D
Q
D
Q
ENA CLRN
ENA CLRN
Summation
D
Q
ENA CLRN
D
Q
D
Q
ENA CLRN
Summation Stage for Adding Four Multipliers Together
Optional Output Register Stage
ENA CLRN
Adder/ Subtractor/ Accumulator 2
D
Q
Optional Serial Shift Register Outputs to Next DSP Block in the Column
ENA CLRN
D
Q
D
Q
ENA CLRN
Optional Pipeline Register Stage
ENA CLRN
Optional Input Register Stage with Parallel Input or Shift Register Configuration
to MultiTrack Interconnect
2-84 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Modes of Operation
The adder, subtractor, and accumulate functions of a DSP block have four modes of operation:

Simple multiplier Multiply-accumulator Two-multipliers adder Four-multipliers adder
Table 2-22 shows the different number of multipliers possible in each DSP block mode according to size. These modes allow the DSP blocks to implement numerous applications for DSP including FFTs, complex FIR, FIR, 2D FIR filters, equalizers, IIR, correlators, matrix multiplication, and many other functions. The DSP blocks also support mixed modes and mixed multiplier sizes in the same block. For example, half of one DSP block can implement one 18 x 18-bit multiplier in multiply-accumulator mode, while the other half of the DSP block implements four 9 x 9-bit multipliers in simple multiplier mode.
Table 2-22. Multiplier Size and Configurations per DSP Block DSP Block Mode
Multiplier Multiply-accumulator Two-multipliers adder
9x9
Eight multipliers with eight product outputs -- Four two-multiplier adder (two 9 x 9 complex multiply) Two four-multiplier adder
18 x 18
Four multipliers with four product outputs Two 52-bit multiplyaccumulate blocks Two two-multiplier adder (one 18 x 18 complex multiply) One four-multiplier adder
36 x 36
One multiplier with one product output -- --
Four-multipliers adder
--
DSP Block Interface
The Stratix II GX device DSP block input registers can generate a shift register that can cascade down in the same DSP block column. Dedicated connections between DSP blocks provide fast connections between the shift register inputs to cascade the shift register chains. You can cascade registers within multiple DSP blocks for 9 x 9- or 18 x 18-bit FIR filters larger than four taps, with additional adder stages implemented in ALMs. If the DSP block is configured as 36 x 36 bits, the adder, subtractor, or accumulator stages are implemented in ALMs. Each DSP block can route the shift register chain out of the block to cascade multiple columns of DSP blocks.
Altera Corporation October 2007
2-85 Stratix II GX Device Handbook, Volume 1
Digital Signal Processing (DSP) Block
The DSP block is divided into four block units that interface with four LAB rows on the left and right. Each block unit can be considered one complete 18 x 18-bit multiplier with 36 inputs and 36 outputs. A local interconnect region is associated with each DSP block. Like a LAB, this interconnect region can be fed with 16 direct link interconnects from the LAB to the left or right of the DSP block in the same row. R4 and C4 routing resources can access the DSP block's local interconnect region. The outputs also work similarly to LAB outputs. Eighteen outputs from the DSP block can drive to the left LAB through direct link interconnects and 18 can drive to the right LAB through direct link interconnects. All 36 outputs can drive to R4 and C4 routing interconnects. Outputs can drive right- or left-column routing. Figures 2-59 and 2-60 show the DSP block interfaces to LAB rows. Figure 2-59. DSP Block Interconnect Interface
DSP Block
R4, C4 & Direct Link Interconnects
OA[17..0] OB[17..0] A1[17..0] B1[17..0]
R4, C4 & Direct Link Interconnects
OC[17..0] OD[17..0] A2[17..0] B2[17..0]
OE[17..0] OF[17..0]
A3[17..0] B3[17..0]
OG[17..0] OH[17..0]
A4[17..0] B4[17..0]
2-86 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-60. DSP Block Interface to Interconnect
C4 Interconnect Direct Link Interconnect from Adjacent LAB R4 Interconnect Direct Link Outputs to Adjacent LABs Direct Link Interconnect from Adjacent LAB
36 DSP Block Row Structure
LAB 18
36
LAB
16
16
12 Control 36 A[17..0] B[17..0] OA[17..0] OB[17..0] 36
Row Interface Block
DSP Block to LAB Row Interface Block Interconnect Region
36 Inputs per Row
36 Outputs per Row
A bus of 44 control signals feeds the entire DSP block. These signals include clocks, asynchronous clears, clock enables, signed and unsigned control signals, addition and subtraction control signals, rounding and saturation control signals, and accumulator synchronous loads. The clock signals are routed from LAB row clocks and are generated from specific LAB rows at the DSP block interface. The LAB row source for control signals, data inputs, and outputs is shown in Table 2-23.
f
Refer to the DSP Blocks in Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook for more information on DSP blocks.
Altera Corporation October 2007
2-87 Stratix II GX Device Handbook, Volume 1
Digital Signal Processing (DSP) Block
Table 2-23. DSP Block Signal Sources and Destinations LAB Row at Interface
0
Control Signals Generated
clock0 aclr0 ena0 mult01_saturate addnsub1_round/ accum_round addnsub1 signa sourcea sourceb clock1 aclr1 ena1 accum_saturate mult01_round accum_sload sourcea sourceb mode0 clock2 aclr2 ena2 mult23_saturate addnsub3_round/ accum_round addnsub3 sign_b sourcea sourceb clock3 aclr3 ena3 accum_saturate mult23_round accum_sload sourcea sourceb mode1
Data Inputs
A1[17..0] B1[17..0]
Data Outputs
OA[17..0] OB[17..0]
1
A2[17..0] B2[17..0]
OC[17..0] OD[17..0]
2
A3[17..0] B3[17..0]
OE[17..0] OF[17..0]
3
A4[17..0] B4[17..0]
OG[17..0] OH[17..0]
2-88 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
PLLs and Clock Networks
Stratix II GX devices provide a hierarchical clock structure and multiple phase-locked loops (PLLs) with advanced features. The large number of clocking resources in combination with the clock synthesis precision provided by enhanced and fast PLLs provides a complete clock management solution.
Global and Hierarchical Clocking
Stratix II GX devices provide 16 dedicated global clock networks and 32 regional clock networks (eight per device quadrant). These clocks are organized into a hierarchical clock structure that allows for up to 24 clocks per device region with low skew and delay. This hierarchical clocking scheme provides up to 48 unique clock domains in Stratix II GX devices. There are 12 dedicated clock pins to drive either the global or regional clock networks. Four clock pins drive each side of the device, as shown in Figures 2-61 and 2-62. Internal logic and enhanced and fast PLL outputs can also drive the global and regional clock networks. Each global and regional clock has a clock control block, which controls the selection of the clock source and dynamically enables or disables the clock to reduce power consumption. Table 2-24 shows global and regional clock features.
Table 2-24. Global and Regional Clock Features Feature
Number per device Number available per quadrant Sources
Global Clocks
16 16 Clock pins, PLL outputs, core routings, inter-transceiver clocks
Regional Clocks
32 8 Clock pins, PLL outputs, core routings, inter-transceiver clocks --
Dynamic clock source selection Dynamic enable/disable
v v
v
Global Clock Network
These clocks drive throughout the entire device, feeding all device quadrants. The global clock networks can be used as clock sources for all resources in the device IOEs, ALMs, DSP blocks, and all memory blocks. These resources can also be used for control signals, such as clock enables and synchronous or asynchronous clears fed from the external pin. The global clock networks can also be driven by internal logic for internally
Altera Corporation October 2007
2-89 Stratix II GX Device Handbook, Volume 1
PLLs and Clock Networks
generated global clocks and asynchronous clears, clock enables, or other control signals with large fanout. Figure 2-61 shows the 12 dedicated CLK pins driving global clock networks. Figure 2-61. Global Clocking
CLK[15..12]
Global Clock [15..0]
CLK[3..0]
Global Clock [15..0]
CLK[7..4]
Regional Clock Network
There are eight regional clock networks (RCLK[7..0]) in each quadrant of the Stratix II GX device that are driven by the dedicated CLK[15..12]and CLK[7..0] input pins, by PLL outputs, or by internal logic. The regional clock networks provide the lowest clock delay and skew for logic contained in a single quadrant. The CLK pins symmetrically drive the RCLK networks in a particular quadrant, as shown in Figure 2-62.
2-90 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-62. Regional Clocks
CLK[15..12] 11 5 7 RCLK [31..28] RCLK [27..24] Stratix II GX Transceiver Block
RCLK [3..0] 1 2 RCLK [7..4]
RCLK [23..20]
CLK[3..0]
RCLK [19..16]
Stratix II GX Transceiver Block
8
RCLK [11..8] 12 6 CLK[7..4]
RCLK [15..12]
Dual-Regional Clock Network
A single source (CLK pin or PLL output) can generate a dual-regional clock by driving two regional clock network lines in adjacent quadrants (one from each quadrant), which allows logic that spans multiple quadrants to utilize the same low skew clock. The routing of this clock signal on an entire side has approximately the same speed but slightly higher clock skew when compared with a clock signal that drives a single quadrant. Internal logic-array routing can also drive a dual-regional clock. Clock pins and enhanced PLL outputs on the top and bottom can drive horizontal dual-regional clocks. Clock pins and fast PLL outputs on the left and right can drive vertical dual-regional clocks, as shown in Figure 2-63. Corner PLLs cannot drive dual-regional clocks.
Altera Corporation October 2007
2-91 Stratix II GX Device Handbook, Volume 1
PLLs and Clock Networks
Figure 2-63. Dual-Regional Clocks
Clock Pins or PLL Clock Outputs Can Drive Dual-Regional Network Clock Pins or PLL Clock Outputs Can Drive Dual-Regional Network
CLK[15..12]
CLK[15..12]
CLK[3..0]
CLK[3..0]
PLLs
PLLs
CLK[7..4]
CLK[7..4]
Combined Resources
Within each quadrant, there are 24 distinct dedicated clocking resources consisting of 16 global clock lines and 8 regional clock lines. Multiplexers are used with these clocks to form buses to drive LAB row clocks, column IOE clocks, or row IOE clocks. Another multiplexer is used at the LAB level to select three of the six row clocks to feed the ALM registers in the LAB (see Figure 2-64). Figure 2-64. Hierarchical Clock Networks per Quadrant
Clocks Available to a Quadrant or Half-Quadrant
Global Clock Network [15..0] Clock [23..0] Lab Row Clock [5..0] Regional Clock Network [7..0] Row I/O Cell IO_CLK[7..0] Column I/O Cell IO_CLK[7..0]
2-92 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
IOE clocks have row and column block regions that are clocked by 8 I/O clock signals chosen from the 24 quadrant clock resources. Figures 2-65 and 2-66 show the quadrant relationship to the I/O clock regions. Figure 2-65. EP2SGX30 Device I/O Clock Groups
IO_CLKA[7..0] IO_CLKB[7..0]
8
8
I/O Clock Regions
8
24 Clocks in the Quadrant
24 Clocks in the Quadrant
IO_CLKH[7..0]
8
IO_CLKC[7..0]
8
IO_CLKG[7..0]
24 Clocks in the Quadrant 24 Clocks in the Quadrant
IO_CLKD[7..0]
8
8
8
IO_CLKF[7..0]
IO_CLKE[7..0]
Altera Corporation October 2007
2-93 Stratix II GX Device Handbook, Volume 1
PLLs and Clock Networks
Figure 2-66. EP2SGX60, EP2SGX90 and EP2SGX130 Device I/O Clock Groups
IO_CLKA[7..0] IO_CLKB[7..0] IO_CLKC[7..0] IO_CLKD[7..0]
8
8
8
8
I/O Clock Regions
8
8
IO_CLKP[7..0]
24 Clocks in the Quadrant 8 24 Clocks in the Quadrant 8
IO_CLKE[7..0]
IO_CLKO[7..0]
IO_CLKF[7..0]
8
8
IO_CLKN[7..0]
24 Clocks in the Quadrant 8 24 Clocks in the Quadrant 8
IO_CLKG[7..0]
IO_CLKM[7..0]
IO_CLKH[7..0]
8
8
8
8
IO_CLKL[7..0]
IO_CLKK[7..0]
IO_CLKJ[7..0]
IO_CLKI[7..0]
You can use the Quartus II software to control whether a clock input pin drives either a global, regional, or dual-regional clock network. The Quartus II software automatically selects the clocking resources if not specified.
Clock Control Block
Each global clock, regional clock, and PLL external clock output has its own clock control block. The control block has two functions:

Clock source selection (dynamic selection for global clocks) Clock power-down (dynamic clock enable or disable)
2-94 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figures 2-67 through 2-69 show the clock control block for the global clock, regional clock, and PLL external clock output, respectively. Figure 2-67. Global Clock Control Blocks
CLKp Pins PLL Counter Outputs CLKSELECT[1..0] (1) 2 2 CLKn Pin 2 Internal Logic
This multiplexer supports User-Controllable Dynamic Switching
Static Clock Select (2)
Enable/ Disable Internal Logic GCLK
Notes to Figure 2-67:
(1) (2) These clock select signals can be dynamically controlled through internal logic when the device is operating in user mode. These clock select signals can only be set through a configuration file (SRAM Object File [.sof] or Programmer Object File [.pof]) and cannot be dynamically controlled during user mode operation.
Figure 2-68. Regional Clock Control Blocks
CLKp Pin PLL Counter Outputs 2 CLKn Pin (2) Internal Logic Static Clock Select (1)
Enable/ Disable Internal Logic RCLK
Notes to Figure 2-68:
(1) (2) These clock select signals can only be set through a configuration file (.sof or .pof) and cannot be dynamically controlled during user mode operation. Only the CLKn pins on the top and bottom of the device feed to regional clock select.
Altera Corporation October 2007
2-95 Stratix II GX Device Handbook, Volume 1
PLLs and Clock Networks
Figure 2-69. External PLL Output Clock Control Blocks
PLL Counter Outputs (c[5..0]) 6 Static Clock Select (1)
Enable/ Disable Internal Logic IOE (2) Internal Logic Static Clock Select (1)
PLL_OUT Pin
Notes to Figure 2-69:
(1) (2) These clock select signals can only be set through a configuration file (.sof or .pof) and cannot be dynamically controlled during user mode operation. The clock control block feeds to a multiplexer within the PLL_OUT pin's IOE. The PLL_OUT pin is a dual-purpose pin. Therefore, this multiplexer selects either an internal signal or the output of the clock control block.
For the global clock control block, the clock source selection can be controlled either statically or dynamically. You have the option of statically selecting the clock source by using the Quartus II software to set specific configuration bits in the configuration file (.sof or .pof) or you can control the selection dynamically by using internal logic to drive the multiplexer select inputs. When selecting statically, the clock source can be set to any of the inputs to the select multiplexer. When selecting the clock source dynamically, you can either select between two PLL outputs (such as the C0 or C1 outputs from one PLL), between two PLLs (such as the C0/C1 clock output of one PLL or the C0/C1 c1ock output of the other PLL), between two clock pins (such as CLK0 or CLK1), or between a combination of clock pins or PLL outputs. For the regional and PLL_OUT clock control block, the clock source selection can only be controlled statically using configuration bits. Any of the inputs to the clock select multiplexer can be set as the clock source.
2-96 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
The Stratix II GX clock networks can be disabled (powered down) by both static and dynamic approaches. When a clock net is powered down, all the logic fed by the clock net is in an off-state, thereby reducing the overall power consumption of the device. The global and regional clock networks can be powered down statically through a setting in the configuration file (.sof or .pof). Clock networks that are not used are automatically powered down through configuration bit settings in the configuration file generated by the Quartus II software. The dynamic clock enable and disable feature allows the internal logic to control power up and down synchronously on GCLK and RCLK nets and PLL_OUT pins. This function is independent of the PLL and is applied directly on the clock network or PLL_OUT pin, as shown in Figures 2-67 through 2-69.
Enhanced and Fast PLLs
Stratix II GX devices provide robust clock management and synthesis using up to four enhanced PLLs and four fast PLLs. These PLLs increase performance and provide advanced clock interfacing and clock frequency synthesis. With features such as clock switchover, spread spectrum clocking, reconfigurable bandwidth, phase control, and reconfigurable phase shifting, the Stratix II GX device's enhanced PLLs provide you with complete control of clocks and system timing. The fast PLLs provide general purpose clocking with multiplication and phase shifting as well as high-speed outputs for high-speed differential I/O support. Enhanced and fast PLLs work together with the Stratix II GX high-speed I/O and advanced clock architecture to provide significant improvements in system performance and bandwidth.
Altera Corporation October 2007
2-97 Stratix II GX Device Handbook, Volume 1
PLLs and Clock Networks
The Quartus II software enables the PLLs and their features without requiring any external devices. Table 2-25 shows the PLLs available for each Stratix II GX device and their type.
Table 2-25. Stratix II GX Device PLL Availability Device 1
EP2SGX30 EP2SGX60 EP2SGX90 EP2SGX130
Notes (1), (2) Enhanced PLLs 8 v v v 9 (3) 10 (3) 5 v v v v 6 v v v v v v v v v v 11 12
Fast PLLs 2 v v v v v v v 3 (3) 4 (3) 7
v v v v
Notes to Table 2-25:
(1) EP2SGX30C/D and EP2SGX60C/D devices only have two fast PLLs (1 and 2), but the connectivity from these two PLLs to the global and regional clock networks remains the same as shown. The EP2S60C/D devices only have two enhanced PLLs (5 and 6). The global or regional clocks in a fast PLL's quadrant can drive the fast PLL input. A dedicated clock input pin or other PLL must drive the global or regional source. The source cannot be driven by internally generated logic before driving the fast PLL. PLLs 3, 4, 9, and 10 are not available in Stratix II GX devices. However, these PLLs are listed in Table 2-25 because the Stratix II GX PLL numbering scheme is consistent with Stratix and Stratix II devices.
(2)
(3)
2-98 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Table 2-26 shows the enhanced PLL and fast PLL features in Stratix II GX devices.
Table 2-26. Stratix II GX PLL Features Feature
Clock multiplication and division Phase shift Clock switchover PLL reconfiguration Reconfigurable bandwidth Spread spectrum clocking Programmable duty cycle Number of internal clock outputs Number of external clock outputs Number of feedback clock inputs Notes to Table 2-26:
(1) (2) (3) (4) (5) (6) (7) (8) For enhanced PLLs, m, n range from 1 to 256 and post-scale counters range from 1 to 512 with 50% duty cycle. For fast PLLs, m, and post-scale counters range from 1 to 32. The n counter ranges from 1 to 4. The smallest phase shift is determined by the voltage controlled oscillator (VCO) period divided by 8. For degree increments, Stratix II GX devices can shift all output frequencies in increments of at least 45. Smaller degree increments are possible depending on the frequency and divide parameters. Stratix II GX fast PLLs only support manual clock switchover. Fast PLLs can drive to any I/O pin as an external clock. For high-speed differential I/O pins, the device uses a data channel to generate txclkout. If the feedback input is used, you will lose one (or two, if fBIN is differential) external clock output pin. Every Stratix II GX device has at least two enhanced PLLs with one single-ended or differential external feedback input per PLL.
Enhanced PLL
m/(n x post-scale counter) (1) Down to 125-ps increments (3), (4)
Fast PLL
m/(n x post-scale counter) (2) Down to 125-ps increments (3), (4)
v v v v v
6 Three differential/six single-ended One single-ended or differential (7), (8)
v (5) v v v
4 (6)
Altera Corporation October 2007
2-99 Stratix II GX Device Handbook, Volume 1
PLLs and Clock Networks
Figure 2-70 shows a top-level diagram of the Stratix II GX device and PLL floorplan. Figure 2-70. PLL Locations
CLK[15..12] 11 5
FPLL7CLK
7
CLK[3..0]
1 2
PLLs
FPLL8CLK
8
12
6
CLK[7..4]
Figures 2-71 and 2-72 shows global and regional clocking from the fast PLL outputs and the side clock pins. The connections to the global and regional clocks from the fast PLL outputs, internal drivers, and the CLK pins on the left side of the device are shown in Table 2-27.
2-100 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-71. Global and Regional Clock Connections from Center Clock Pins and Fast PLL Outputs Notes (1), (2)
CLK0 CLK1 Fast PLL 1
C0 C1 C2 C3
Logic Array Signal Input To Clock Network
C0 CLK2 CLK3 Fast PLL 2 C1 C2 C3
RCLK0 RCLK1
RCLK2
RCLK4 RCLK5
RCLK6 RCLK7
GCLK0 GCLK1
GCLK2 GCLK3
RCLK3
Notes to Figure 2-71:
(1) (2) EP2SGX30C/D and P2SGX60C/D devices only have two fast PLLs (1 and 2) and two Enhanced PLLs (5 and 6), but the connectivity from these PLLs to the global and regional clock networks remains the same as shown. The global or regional clocks in a fast PLL's quadrant can drive the fast PLL input. A dedicated clock input pin or other PLL must drive the global or regional source. The source cannot be driven by internally generated logic before driving the fast PLL.
Altera Corporation October 2007
2-101 Stratix II GX Device Handbook, Volume 1
PLLs and Clock Networks
Figure 2-72. Global and Regional Clock Connections from Corner Clock Pins and Fast PLL Outputs Notes (1), (2)
RCLK1 RCLK0 RCLK3 RCLK2
C0 Fast PLL 7 C1 C2 C3
C0 Fast PLL 8 C1 C2 C3
RCLK4 RCLK5
RCLK6 RCLK7
GCLK0 GCLK1
GCLK2 GCLK3
Notes to Figure 2-72:
(1) The global or regional clocks in a fast PLL's quadrant can drive the fast PLL input. A dedicated clock input pin or other PLL must drive the global or regional source. The source cannot be driven by internally generated logic before driving the fast PLL. EP2SGX30C/D and EP2SGX60C/D devices only have two fast PLLs (1 and 2); they do not contain corner fast PLLs.
(2)
Table 2-27. Global and Regional Clock Connections from Left Side Clock Pins and Fast PLL Outputs (Part 1 of 3) RCLK0 RCLK1 RCLK2 RCLK3 RCLK4 RCLK5 RCLK6 v v v CLK0 CLK1 CLK2 CLK3 Left Side Global and Regional Clock Network Connectivity
Clock pins CLK0p CLK1p CLK2p CLK3p
v v
v v v v v v
v v v
v v
2-102 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
RCLK7
Stratix II GX Architecture
Table 2-27. Global and Regional Clock Connections from Left Side Clock Pins and Fast PLL Outputs (Part 2 of 3) RCLK0 RCLK1 RCLK2 RCLK3 RCLK4 RCLK5 RCLK6 v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v CLK0 CLK1 CLK2 CLK3 Left Side Global and Regional Clock Network Connectivity
Drivers from internal logic GCLKDRV0 GCLKDRV1 GCLKDRV2 GCLKDRV3 RCLKDRV0 RCLKDRV1 RCLKDRV2 RCLKDRV3 RCLKDRV4 RCLKDRV5 RCLKDRV6 RCLKDRV7 PLL 1 outputs c0 c1 c2 c3 PLL 2 outputs c0 c1 c2 c3 PLL 7 outputs c0 c1 c2 c3
v v
v v v v v v v v v v v
Altera Corporation October 2007
2-103 Stratix II GX Device Handbook, Volume 1
RCLK7
PLLs and Clock Networks
Table 2-27. Global and Regional Clock Connections from Left Side Clock Pins and Fast PLL Outputs (Part 3 of 3) RCLK0 RCLK1 RCLK2 RCLK3 RCLK4 RCLK5 RCLK6 v v v v v v v CLK0 CLK1 CLK2 CLK3 Left Side Global and Regional Clock Network Connectivity
PLL 8 outputs c0 c1 c2 c3
v v v v v v
v v
v
2-104 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
RCLK7
Stratix II GX Architecture
Figure 2-73 shows the global and regional clocking from enhanced PLL outputs and top and bottom CLK pins. Figure 2-73. Global and Regional Clock Connections from Top and Bottom Clock Pins and Enhanced PLL Outputs Notes (1), (2)
CLK13
(2)
CLK15
(2) PLL5_FB
CLK12
CLK14
PLL11_FB
PLL 11
PLL 5
c0 c1 c2 c3 c4 c5
PLL11_OUT[2..0]p PLL11_OUT[2..0]n
c0 c1 c2 c3 c4 c5
PLL5_OUT[2..0]p PLL5_OUT[2..0]n RCLK31 RCLK30 RCLK29 RCLK28
Regional Clocks
RCLK27 RCLK26 RCLK25 RCLK24 G15 G14 G13 G12
Global Clocks
G4 G5 G6 G7
Regional Clocks
RCLK8 RCLK9 RCLK10 RCLK11 RCLK12 RCLK13 RCLK14 RCLK15 PLL6_OUT[2..0]p PLL6_OUT[2..0]n
PLL12_OUT[2..0]p PLL12_OUT[2..0]n
c0 c1 c2 c3 c4 c5 PLL 12
c0 c1 c2 c3 c4 c5
PLL 6
PLL12_FB (2) CLK4 CLK5
CLK6 CLK7
PLL6_FB (2)
Notes to Figure 2-73:
(1) (2) EP2SGX30C/D and EP2SGX60C/D devices only have two enhanced PLLs (5 and 6), but the connectivity from these two PLLs to the global and regional clock networks remains the same as shown. If the design uses the feedback input, you will lose one (or two, if FBIN is differential) external clock output pin.
Altera Corporation October 2007
2-105 Stratix II GX Device Handbook, Volume 1
PLLs and Clock Networks
The connections to the global and regional clocks from the top clock pins and enhanced PLL outputs are shown in Table 2-28. The connections to the clocks from the bottom clock pins are shown in Table 2-29.
Table 2-28. Global and Regional Clock Connections from Top Clock Pins and Enhanced PLL Outputs (Part 1 of 2) Top Side Global and Regional Clock Network Connectivity
Clock pins CLK12p CLK13p CLK14p CLK15p CLK12n CLK13n CLK14n CLK15n Drivers from internal logic GCLKDRV0 GCLKDRV1 GCLKDRV2 GCLKDRV3 RCLKDRV0 RCLKDRV1 RCLKDRV2 RCLKDRV3 RCLKDRV4 RCLKDRV5 RCLKDRV6 RCLKDRV7 Enhanced PLL5 outputs c0 c1
RCLK24
RCLK25
RCLK26
RCLK27
RCLK28
RCLK29
RCLK30 v v v v
v v v v
v v
v v v v v v
v v v v v
v v v v v v v v v
v v v v v v v v
v v v v v v v v v v v v v v v v
v v v v v v v v
2-106 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
RCLK31
DLLCLK
CLK12
CLK13
CLK14
CLK15
Stratix II GX Architecture
Table 2-28. Global and Regional Clock Connections from Top Clock Pins and Enhanced PLL Outputs (Part 2 of 2) Top Side Global and Regional Clock Network Connectivity
c2 c3 c4 c5 Enhanced PLL 11 outputs c0 c1 c2 c3 c4 c5
DLLCLK
RCLK24
RCLK25
RCLK26
RCLK27
RCLK28
RCLK29
RCLK30 v v v v RCLK14 v v
v v v v v v v v
v v
v v v v v v
v v v v v v v v v v v v v v v v
v v
v v
v v
v v
Table 2-29. Global and Regional Clock Connections from Bottom Clock Pins and Enhanced PLL Outputs (Part 1 of 2) Bottom Side Global and Regional Clock Network Connectivity
Clock pins CLK4p CLK5p CLK6p CLK7p CLK4n CLK5n CLK6n CLK7n Drivers from internal logic GCLKDRV0 GCLKDRV1
RCLK10
RCLK11
RCLK12
RCLK13
v v v v
v v
v v v v v v
v v v v v
v v v v v v v v v
v v v v v v
Altera Corporation October 2007
2-107 Stratix II GX Device Handbook, Volume 1
RCLK15
DLLCLK
RCLK8
RCLK9
CLK4
CLK5
CLK6
CLK7
RCLK31
CLK12
CLK13
CLK14
CLK15
PLLs and Clock Networks
Table 2-29. Global and Regional Clock Connections from Bottom Clock Pins and Enhanced PLL Outputs (Part 2 of 2) Bottom Side Global and Regional Clock Network Connectivity
GCLKDRV2 GCLKDRV3 RCLKDRV0 RCLKDRV1 RCLKDRV2 RCLKDRV3 RCLKDRV4 RCLKDRV5 RCLKDRV6 RCLKDRV7 Enhanced PLL 6 outputs c0 c1 c2 c3 c4 c5 Enhanced PLL 12 outputs c0 c1 c2 c3 c4 c5
DLLCLK
RCLK10
RCLK11
RCLK12
RCLK13
RCLK14 v v v v v v
v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v v
2-108 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
RCLK15
RCLK8
RCLK9
CLK4
CLK5
CLK6
CLK7
Stratix II GX Architecture
Enhanced PLLs
Stratix II GX devices contain up to four enhanced PLLs with advanced clock management features. These features include support for external clock feedback mode, spread-spectrum clocking, and counter cascading. Figure 2-74 shows a diagram of the enhanced PLL. Figure 2-74. Stratix II GX Enhanced PLL Note (1)
VCO Phase Selection Selectable at Each PLL Output Port From Adjacent PLL Post-Scale Counters
Clock Switchover Circuitry INCLK[3..0] 4 /n
Phase Frequency Detector
Spread Spectrum
/c0
/c1 4 PFD Charge Pump Loop Filter 8 VCO /c2 6 /c3 6 /m (2) /c5 FBIN Lock Detect & Filter to I/O or general routing /c4 I/O Buffers (3) 8 Regional Clocks Global Clocks
Global or Regional Clock
Shaded Portions of the PLL are Reconfigurable
VCO Phase Selection Affecting All Outputs
Notes to Figure 2-74:
(1) (2) (3) (4) Each clock source can come from any of the four clock pins that are physically located on the same side of the device as the PLL. If the feedback input is used, you will lose one (or two, if FBIN is differential) external clock output pin. Each enhanced PLL has three differential external clock outputs or six single-ended external clock outputs. The global or regional clock input can be driven by an output from another PLL, a pin-driven dedicated global or regional clock, or through a clock control block provided the clock control block is fed by an output from another PLL or a pin-driven dedicated global or regional clock. An internally generated global signal cannot drive the PLL.
Fast PLLs
Stratix II GX devices contain up to four fast PLLs with high-speed serial interfacing ability. The fast PLLs offer high-speed outputs to manage the high-speed differential I/O interfaces. Figure 2-75 shows a diagram of the fast PLL.
Altera Corporation October 2007
2-109 Stratix II GX Device Handbook, Volume 1
I/O Structure
Figure 2-75. Stratix II GX Device Fast PLL
VCO Phase Selection Selectable at each PLL Output Port Post-Scale Counters
Global or regional clock (1)
Clock Switchover Circuitry (4)
Phase Frequency Detector
diffioclk0 (2) /c0 8 /c1 4 /c2 Global clocks 4 /c3 /m 8 to DPA block 8 Regional clocks load_en0 (3)
Clock Input
4
/n
PFD
Charge Pump
Loop Filter
VCO
/k
load_en1 (3) diffioclk1 (2)
Global or regional clock (1)
Shaded Portions of the PLL are Reconfigurable
Notes to Figure 2-75:
(1) The global or regional clock input can be driven by an output from another PLL, a pin-driven dedicated global or regional clock, or through a clock control block provided the clock control block is fed by an output from another PLL or a pin-driven dedicated global or regional clock. An internally generated global signal cannot drive the PLL. In high-speed differential I/O support mode, this high-speed PLL clock feeds the serializer/deserializer (SERDES) circuitry. Stratix II GX devices only support one rate of data transfer per fast PLL in high-speed differential I/O support mode. This signal is a differential I/O SERDES control signal. Stratix II GX fast PLLs only support manual clock switchover.
(2)
(3) (4)
f
Refer to the PLLs in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook for more information on enhanced and fast PLLs. Refer to "High-Speed Differential I/O with DPA Support" on page 2-136 for more information on high-speed differential I/O support. The Stratix II GX IOEs provide many features, including:

I/O Structure
Dedicated differential and single-ended I/O buffers 3.3-V, 64-bit, 66-MHz PCI compliance 3.3-V, 64-bit, 133-MHz PCI-X 1.0 compliance Joint Test Action Group (JTAG) boundary-scan test (BST) support On-chip driver series termination On-chip termination for differential standards Programmable pull-up during configuration Output drive strength control Tri-state buffers Bus-hold circuitry Programmable pull-up resistors Programmable input and output delays
2-110 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture

Open-drain outputs DQ and DQS I/O pins Double data rate (DDR) registers
The IOE in Stratix II GX devices contains a bidirectional I/O buffer, six registers, and a latch for a complete embedded bidirectional single data rate or DDR transfer. Figure 2-76 shows the Stratix II GX IOE structure. The IOE contains two input registers (plus a latch), two output registers, and two output enable registers. You can use both input registers and the latch to capture DDR input and both output registers to drive DDR outputs. Additionally, you can use the output enable (OE) register for fast clock-to-output enable timing. The negative edge-clocked OE register is used for DDR SDRAM interfacing. The Quartus II software automatically duplicates a single OE register that controls multiple output or bidirectional pins.
Altera Corporation October 2007
2-111 Stratix II GX Device Handbook, Volume 1
I/O Structure
Figure 2-76. Stratix II GX IOE Structure
Logic Array OE Register OE
D Q
OE Register
D Q
Output Register Output A
D Q
CLK
Output Register Output B
D Q
Input Register
D Q
Input A Input B Input Register
D Q
Input Latch
D ENA Q
The IOEs are located in I/O blocks around the periphery of the Stratix II GX device. There are up to four IOEs per row I/O block and four IOEs per column I/O block. The row I/O blocks drive row, column, or direct link interconnects. The column I/O blocks drive column interconnects.
2-112 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-77 shows how a row I/O block connects to the logic array. Figure 2-77. Row I/O Block Connection to the Interconnect
R4 & R24 Interconnects
C4 Interconnect I/O Block Local Interconnect
32 Data & Control Signals from Logic Array (1)
LAB 32 Horizontal I/O Block
io_dataina[3..0] io_datainb[3..0]
Direct Link Interconnect to Adjacent LAB LAB Local Interconnect
Direct Link Interconnect to Adjacent LAB
io_clk[7:0]
Horizontal I/O Block Contains up to Four IOEs
Note to Figure 2-77:
(1) The 32 data and control signals consist of eight data out lines: four lines each for DDR applications io_dataouta[3..0] and io_dataoutb[3..0], four output enables io_oe[3..0], four input clock enables io_ce_in[3..0], four output clock enables io_ce_out[3..0], four clocks io_clk[3..0], four asynchronous clear and preset signals io_aclr/apreset[3..0], and four synchronous clear and preset signals io_sclr/spreset[3..0].
Altera Corporation October 2007
2-113 Stratix II GX Device Handbook, Volume 1
I/O Structure
Figure 2-78 shows how a column I/O block connects to the logic array. Figure 2-78. Column I/O Block Connection to the Interconnect
32 Data & Control Signals from Logic Array (1)
Vertical I/O Block
Vertical I/O Block Contains up to Four IOEs
32
IO_dataina[3..0] IO_datainb[3..0]
io_clk[7..0]
I/O Block Local Interconnect
R4 & R24 Interconnects
LAB
LAB
LAB
LAB Local Interconnect
C4 & C16 Interconnects
Note to Figure 2-78:
(1) The 32 data and control signals consist of eight data out lines: four lines each for DDR applications io_dataouta[3..0] and io_dataoutb[3..0], four output enables io_oe[3..0], four input clock enables io_ce_in[3..0], four output clock enables io_ce_out[3..0], four clocks io_clk[3..0], four asynchronous clear and preset signals io_aclr/apreset[3..0], and four synchronous clear and preset signals
io_sclr/spreset[3..0].
2-114 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
There are 32 control and data signals that feed each row or column I/O block. These control and data signals are driven from the logic array. The row or column IOE clocks, io_clk[7..0], provide a dedicated routing resource for low-skew, high-speed clocks. I/O clocks are generated from global or regional clocks. Refer to "PLLs and Clock Networks" on page 2-89 for more information. Figure 2-79 illustrates the signal paths through the I/O block. Figure 2-79. Signal Path Through the I/O Block
Row or Column io_clk[7..0]
To Other IOEs
To Logic Array
io_dataina io_datainb oe ce_in ce_out io_ce_in io_ce_out io_aclr Control Signal Selection aclr/apreset sclr/spreset clk_in io_sclr clk_out io_clk io_dataouta io_dataoutb IOE
io_oe
From Logic Array
Each IOE contains its own control signal selection for the following control signals: oe, ce_in, ce_out, aclr/apreset, sclr/spreset, clk_in, and clk_out. Figure 2-80 illustrates the control signal selection.
Altera Corporation October 2007
2-115 Stratix II GX Device Handbook, Volume 1
I/O Structure
Figure 2-80. Control Signal Selection per IOE
Dedicated I/O Clock [7..0] Local Interconnect Local Interconnect Local Interconnect Local Interconnect Local Interconnect Local Interconnect io_oe
Note (1)
io_sclr
io_aclr
io_ce_out
io_ce_in
io_clk
clk_out
ce_out
sclr/spreset
clk_in
ce_in
aclr/apreset
oe
Note to Figure 2-80:
(1) Control signals ce_in, ce_out, aclr/apreset, sclr/spreset, and oe can be global signals even though their control selection multiplexers are not directly fed by the ioe_clk[7..0] signals. The ioe_clk signals can drive the I/O local interconnect, which then drives the control selection multiplexers.
In normal bidirectional operation, you can use the input register for input data requiring fast setup times. The input register can have its own clock input and clock enable separate from the OE and output registers. The output register can be used for data requiring fast clock-to-output performance. You can use the OE register for fast clock-to-output enable timing. The OE and output register share the same clock source and the same clock enable source from local interconnect in the associated LAB, dedicated I/O clocks, and the column and row interconnects. Figure 2-81 shows the IOE in bidirectional configuration.
2-116 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-81. Stratix II GX IOE in Bidirectional I/O Configuration
ioe_clk[7..0] Column, Row, or Local Interconnect
Note (1)
oe OE Register
D Q
clkout
ENA CLRN/PRN OE Register tCO Delay VCCIO
ce_out
PCI Clamp (2)
VCCIO
aclr/apreset Chip-Wide Reset Output Register
D Q
Programmable Pull-Up Resistor
Output Pin Delay
On-Chip Termination
sclr/spreset
Drive Strength Control ENA Open-Drain Output CLRN/PRN Input Pin to Logic Array Delay
Input Pin to Input Register Delay
Input Register clkin
D Q
Bus-Hold Circuit
ce_in
ENA CLRN/PRN
Notes to Figure 2-81:
(1) (2) All input signals to the IOE can be inverted at the IOE. The optional PCI clamp is only available on column I/O pins.
The Stratix II GX device IOE includes programmable delays that can be activated to ensure input IOE register-to-logic array register transfers, input pin-to-logic array register transfers, or output IOE register-to-pin transfers.
Altera Corporation October 2007
2-117 Stratix II GX Device Handbook, Volume 1
I/O Structure
A path in which a pin directly drives a register can require the delay to ensure zero hold time, whereas a path in which a pin drives a register through combinational logic may not require the delay. Programmable delays exist for decreasing input-pin-to-logic-array and IOE input register delays. The Quartus II Compiler can program these delays to automatically minimize setup time while providing a zero hold time. Programmable delays can increase the register-to-pin delays for output and/or output enable registers. Programmable delays are no longer required to ensure zero hold times for logic array register-to-IOE register transfers. The Quartus II Compiler can create the zero hold time for these transfers. Table 2-30 shows the programmable delays for Stratix II GX devices.
Table 2-30. Stratix II GX Programmable Delay Chain Programmable Delays
Input pin to logic array delay Input pin to input register delay Output pin delay Output enable register tCO delay
Quartus II Logic Option
Input delay from pin to internal cells Input delay from pin to input register Delay from output register to output pin Delay to output enable pin
The IOE registers in Stratix II GX devices share the same source for clear or preset. You can program preset or clear for each individual IOE. You can also program the registers to power up high or low after configuration is complete. If programmed to power up low, an asynchronous clear can control the registers. If programmed to power up high, an asynchronous preset can control the registers. This feature prevents the inadvertent activation of another device's active-low input upon power-up. If one register in an IOE uses a preset or clear signal, all registers in the IOE must use that same signal if they require preset or clear. Additionally, a synchronous reset signal is available for the IOE registers.
Double Data Rate I/O Pins
Stratix II GX devices have six registers in the IOE, which support DDR interfacing by clocking data on both positive and negative clock edges. The IOEs in Stratix II GX devices support DDR inputs, DDR outputs, and bidirectional DDR modes. When using the IOE for DDR inputs, the two input registers clock double rate input data on alternating edges. An input latch is also used in the IOE for DDR input acquisition. The latch holds the data that is present during the clock high times, allowing both bits of data to be synchronous with the same clock edge (either rising or falling). Figure 2-82 shows an IOE configured for DDR input. Figure 2-83 shows the DDR input timing diagram.
2-118 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-82. Stratix II GX IOE in DDR Input I/O Configuration
ioe_clk[7..0] Column, Row, or Local Interconnect
Note (1)
VCCIO To DQS Logic Block (3)
PCI Clamp (4)
VCCIO
DQS Local Bus (2)
Programmable Pull-Up Resistor
Input Pin to Input RegisterDelay sclr/spreset Input Register
D Q
On-Chip Termination
clkin ce_in aclr/apreset
ENA CLRN/PRN
Bus-Hold Circuit
Chip-Wide Reset Input Register
D Q D
Latch
Q
ENA CLRN/PRN
ENA CLRN/PRN
Notes to Figure 2-82:
(1) (2) (3) (4) All input signals to the IOE can be inverted at the IOE. This signal connection is only allowed on dedicated DQ function pins. This signal is for dedicated DQS function pins only. The optional PCI clamp is only available on column I/O pins.
Altera Corporation October 2007
2-119 Stratix II GX Device Handbook, Volume 1
I/O Structure
Figure 2-83. Input Timing Diagram in DDR Mode
Data at input pin B0 A0 B1 A1 B2 A2 B3 A3 B4
CLK
A0
A1
A2
A3
Input To Logic Array
B0 B1 B2 B3
When using the IOE for DDR outputs, the two output registers are configured to clock two data paths from ALMs on rising clock edges. These output registers are multiplexed by the clock to drive the output pin at a x2 rate. One output register clocks the first bit out on the clock high time, while the other output register clocks the second bit out on the clock low time. Figure 2-84 shows the IOE configured for DDR output. Figure 2-85 shows the DDR output timing diagram.
2-120 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-84. Stratix II GX IOE in DDR Output I/O Configuration
ioe_clk[7..0] Column, Row, or Local Interconnect
Notes (1), (2)
oe OE Register
D Q
clkout
ENA CLRN/PRN
ce_out
OE Register tCO Delay
aclr/apreset
VCCIO
PCI Clamp (3)
Chip-Wide Reset OE Register
D Q
VCCIO
sclr/spreset
ENA CLRN/PRN
Used for DDR, DDR2 SDRAM
Programmable Pull-Up Resistor
Output Register
D Q
Output Pin Delay clk Drive Strength Control Open-Drain Output
On-Chip Termination
ENA CLRN/PRN
Output Register
D Q
ENA CLRN/PRN
Bus-Hold Circuit
Notes to Figure 2-84:
(1) (2) (3) All input signals to the IOE can be inverted at the IOE. The tri-state buffer is active low. The DDIO megafunction represents the tri-state buffer as active-high with an inverter at the OE register data port. The optional PCI clamp is only available on column I/O pins.
Altera Corporation October 2007
2-121 Stratix II GX Device Handbook, Volume 1
I/O Structure
Figure 2-85. Output Timing Diagram in DDR Mode
CLK
A1
A2
A3
A4
From Internal Registers
B1 B2 B3 B4
DDR output
B1
A1
B2
A2
B3
A3
B4
A4
The Stratix II GX IOE operates in bidirectional DDR mode by combining the DDR input and DDR output configurations. The negative-edge-clocked OE register holds the OE signal inactive until the falling edge of the clock to meet DDR SDRAM timing requirements.
External RAM Interfacing
In addition to the six I/O registers in each IOE, Stratix II GX devices also have dedicated phase-shift circuitry for interfacing with external memory interfaces, including DDR and DDR2 SDRAM, QDR II SRAM, RLDRAM II, and SDR SDRAM. In every Stratix II GX device, the I/O banks at the top (banks 3 and 4) and bottom (banks 7 and 8) of the device support DQ and DQS signals with DQ bus modes of x4, x8/x9, x16/x18, or x32/x36. Table 2-31 shows the number of DQ and DQS buses that are supported per device.
Table 2-31. DQS and DQ Bus Mode Support Device
EP2SGX30 EP2SGX60
Package
780-pin FineLine BGA 780-pin FineLine BGA 1,152-pin FineLine BGA
Number of x4 Groups
18 18 36 36 36 36
Number of x8/x9 Groups
8 8 18 18 18 18
Number of x16/x18 Groups
4 4 8 8 8 8
Number of x32/x36 Groups
0 0 4 4 4 4
EP2SGX90
1,152-pin FineLine BGA 1,508-pin FineLine BGA
EP2SGX130 1,508-pin FineLine BGA
2-122 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
A compensated delay element on each DQS pin automatically aligns input DQS synchronization signals with the data window of their corresponding DQ data signals. The DQS signals drive a local DQS bus in the top and bottom I/O banks. This DQS bus is an additional resource to the I/O clocks and is used to clock DQ input registers with the DQS signal. The Stratix II GX device has two phase-shifting reference circuits, one on the top and one on the bottom of the device. The circuit on the top controls the compensated delay elements for all DQS pins on the top. The circuit on the bottom controls the compensated delay elements for all DQS pins on the bottom. Each phase-shifting reference circuit is driven by a system reference clock, which must have the same frequency as the DQS signal. Clock pins CLK[15..12]p feed the phase circuitry on the top of the device and clock pins CLK[7..4]p feed the phase circuitry on the bottom of the device. In addition, PLL clock outputs can also feed the phase-shifting reference circuits. Figure 2-86 shows the phase-shift reference circuit control of each DQS delay shift on the top of the device. This same circuit is duplicated on the bottom of the device. Figure 2-86. DQS Phase-Shift Circuitry Notes (1), (2)
From PLL 5 (4) DQSn Pin DQS Pin DQSn Pin DQS Pin CLK[15..12]p (3) DQS Pin DQSn Pin DQS Pin DQSn Pin
t
t
t
t
DQS Phase-Shift Circuitry
t
t
t
t
DQS Logic Blocks
to IOE
to IOE
to IOE
to IOE
to IOE
to IOE
to IOE
to IOE
Notes to Figure 2-86:
(1) (2) (3) There are up to 18 pairs of DQS and DQSn pins available on the top or the bottom of the Stratix II GX device. There are up to 10 pairs on the right side and 8 pairs on the left side of the DQS phase-shift circuitry. The "t" module represents the DQS logic block. Clock pins CLK[15..12]p feed the phase-shift circuitry on the top of the device and clock pins CLK[7..4]p feed the phase circuitry on the bottom of the device. You can also use a PLL clock output as a reference clock to the phaseshift circuitry. You can only use PLL 5 to feed the DQS phase-shift circuitry on the top of the device and PLL 6 to feed the DQS phase-shift circuitry on the bottom of the device.
(4)
Altera Corporation October 2007
2-123 Stratix II GX Device Handbook, Volume 1
I/O Structure
These dedicated circuits combined, with enhanced PLL clocking and phase-shift ability, provide a complete hardware solution for interfacing to high-speed memory.
f
For more information on external memory interfaces, refer to the External Memory Interfaces in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook.
Programmable Drive Strength
The output buffer for each Stratix II GX device I/O pin has a programmable drive strength control for certain I/O standards. The LVTTL, LVCMOS, SSTL, and HSTL standards have several levels of drive strength that you can control. The default setting used in the Quartus II software is the maximum current strength setting that is used to achieve maximum I/O performance. For all I/O standards, the minimum setting is the lowest drive strength that guarantees the IOH/IOL of the standard. Using minimum settings provides signal slew rate control to reduce system noise and signal overshoot.
2-124 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Table 2-32 shows the possible settings for the I/O standards with drive strength control.
Table 2-32. Programmable Drive Strength Note (1) I/O Standard
3.3-V LVTTL 3.3-V LVCMOS 2.5-V LVTTL/LVCMOS 1.8-V LVTTL/LVCMOS 1.5-V LVCMOS SSTL-2 Class I SSTL-2 Class II SSTL-18 Class I SSTL-18 Class II HSTL-18 Class I HSTL-18 Class II HSTL-15 Class I HSTL-15 Class II Note to Table 2-32:
(1) The Quartus II software default current setting is the maximum setting for each I/O standard.
IOH / IOL Current Strength Setting (mA) for Column I/O Pins
24, 20, 16, 12, 8, 4 24, 20, 16, 12, 8, 4 16, 12, 8, 4 12, 10, 8, 6, 4, 2 8, 6, 4, 2 12, 8 24, 20, 16 12, 10, 8, 6, 4 20, 18, 16, 8 12, 10, 8, 6, 4 20, 18, 16 12, 10, 8, 6, 4 20, 18, 16
IOH / IOL Current Strength Setting (mA) for Row I/O Pins
12, 8, 4 8, 4 12, 8, 4 8, 6, 4, 2 4, 2 12, 8 16 10, 8, 6, 4 -- 12, 10, 8, 6, 4 -- 8, 6, 4 --
Open-Drain Output
Stratix II GX devices provide an optional open-drain (equivalent to an open collector) output for each I/O pin. This open-drain output enables the device to provide system-level control signals (for example, interrupt and write enable signals) that can be asserted by any of several devices.
Bus Hold
Each Stratix II GX device I/O pin provides an optional bus-hold feature. The bus-hold circuitry can hold the signal on an I/O pin at its last-driven state. Since the bus-hold feature holds the last-driven state of the pin until the next input signal is present, an external pull-up or pull-down resistor is not needed to hold a signal level when the bus is tri-stated.
Altera Corporation October 2007
2-125 Stratix II GX Device Handbook, Volume 1
I/O Structure
The bus-hold circuitry also pulls undriven pins away from the input threshold voltage where noise can cause unintended high-frequency switching. You can select this feature individually for each I/O pin. The bus-hold output drives no higher than VCCIO to prevent overdriving signals. If the bus-hold feature is enabled, the programmable pull-up option cannot be used. Disable the bus-hold feature when the I/O pin has been configured for differential signals. The bus-hold circuitry uses a resistor with a nominal resistance (RBH) of approximately 7 k to pull the signal level to the last-driven state.
f
Refer to the DC & Switching Characteristics chapter in volume 1 of the Stratix II GX Device Handbook for the specific sustaining current driven through this resistor and overdrive current used to identify the next-driven input level. This information is provided for each VCCIO voltage level. The bus-hold circuitry is active only after configuration. When going into user mode, the bus-hold circuit captures the value on the pin present at the end of configuration.
Programmable Pull-Up Resistor
Each Stratix II GX device I/O pin provides an optional programmable pull-up resistor during user mode. If you enable this feature for an I/O pin, the pull-up resistor (typically 25 k ) holds the output to the VCCIO level of the output pin's bank. Programmable pull-up resistors are only supported on user I/O pins and are not supported on dedicated configuration pins, JTAG pins, or dedicated clock pins.
Advanced I/O Standard Support
The Stratix II GX device IOEs support the following I/O standards:

3.3-V LVTTL/LVCMOS 2.5-V LVTTL/LVCMOS 1.8-V LVTTL/LVCMOS 1.5-V LVCMOS 3.3-V PCI 3.3-V PCI-X mode 1 LVDS LVPECL (on input and output clocks only) Differential 1.5-V HSTL class I and II Differential 1.8-V HSTL class I and II Differential SSTL-18 class I and II
2-126 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture

Differential SSTL-2 class I and II 1.2-V HSTL class I and II 1.5-V HSTL class I and II 1.8-V HSTL class I and II SSTL-2 class I and II SSTL-18 class I and II
Table 2-33 describes the I/O standards supported by Stratix II GX devices.
Table 2-33. Stratix II GX Supported I/O Standards I/O Standard
LVTTL LVCMOS 2.5 V 1.8 V 1.5-V LVCMOS 3.3-V PCI 3.3-V PCI-X mode 1 LVDS LVPECL (1)
Type
Single-ended Single-ended Single-ended Single-ended Single-ended Single-ended Single-ended Differential Differential
Output Supply Board Termination Input Reference Voltage (VREF) (V) Voltage (VCCIO) (V) Voltage (VTT) (V)
-- -- -- -- -- -- -- -- -- -- 0.75 0.90 0.90 1.25 0.6 0.75 0.9 0.90 3.3 3.3 2.5 1.8 1.5 3.3 3.3 2.5 (3) 3.3 2.5 (3) 1.5 1.8 1.8 2.5 1.2 1.5 1.8 1.8 -- -- -- -- -- -- -- -- -- -- 0.75 0.90 0.90 1.25 0.6 0.75 0.9 0.90
HyperTransport technology Differential Differential 1.5-V HSTL class I and II (2) Differential 1.8-V HSTL class I and II (2) Differential Differential
Differential SSTL-18 class I Differential and II (2) Differential SSTL-2 class I and II (2) 1.2-V HSTL(4) 1.5-V HSTL class I and II 1.8-V HSTL class I and II SSTL-18 class I and II Differential Voltage-referenced Voltage-referenced Voltage-referenced Voltage-referenced
Altera Corporation October 2007
2-127 Stratix II GX Device Handbook, Volume 1
I/O Structure
Table 2-33. Stratix II GX Supported I/O Standards I/O Standard
SSTL-2 class I and II Notes to Table 2-33:
(1) (2) (3) (4) This I/O standard is only available on input and output column clock pins. This I/O standard is only available on input clock pins and DQS pins in I/O banks 3, 4, 7, and 8, and output clock pins in I/O banks 9,10, 11, and 12. VCCIO is 3.3 V when using this I/O standard in input and output column clock pins (in I/O banks 3, 4, 7, 8, 9, 10, 11, and 12). 1.2-V HSTL is only supported in I/O banks 4, 7, and 8.
Type
Voltage-referenced
Input Reference Output Supply Board Termination Voltage (VREF) (V) Voltage (VCCIO) (V) Voltage (VTT) (V)
1.25 2.5 1.25
f
For more information on I/O standards supported by Stratix II GX I/O banks, refer to the Selectable I/O Standards in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook. Stratix II GX devices contain six I/O banks and four enhanced PLL external clock output banks, as shown in Figure 2-87. The two I/O banks on the left of the device contain circuitry to support source-synchronous, high-speed differential I/O for LVDS inputs and outputs. These banks support all Stratix II GX I/O standards except PCI or PCI-X I/O pins, and SSTL-18 class II and HSTL outputs. The top and bottom I/O banks support all single-ended I/O standards. Additionally, enhanced PLL external clock output banks allow clock output capabilities such as differential support for SSTL and HSTL.
2-128 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-87. Stratix II GX I/O Banks
DQS x8 PLL7
VREF3B2 VREF4B2
Notes (1), (2)
PLL11
Bank 11
DQS x8
DQS x8
DQS x8
VREF0B3 VREF1B3 VREF2B3 VREF3B3 VREF4B3 Bank 3
PLL5
Bank 9
DQS x8
DQS x8
DQS x8 Bank 4
DQS x8
DQS x8
VREF0B4 VREF1B4 VREF2B4 VREF3B4 VREF4B4
VREF0B2 VREF1B2
This I/O bank supports LVDS and LVPECL standards for input clock operations. Differential HSTL and differential SSTL standards are supported for both input and output operations. (3) I/O Banks 3, 4, 9, and 11 support all single-ended I/O standards for both input and output operations. All differential I/O standards are supported for both input and output operations at I/O banks 9 and 11.
VREF2B2
Bank 2
This I/O bank supports LVDS and LVPECL standards for input clock operation. Differential HSTL and differential SSTL standards are supported for both input and output operations. (3)
Transmitter: Bank 13 Receiver: Bank 13 REFCLK: Bank 13
PLL1
PLL2
VREF3B1 VREF4B1
I/O banks 1 & 2 support LVTTL, LVCMOS, 2.5 V, 1.8 V, 1.5 V, SSTL-2, SSTL-18 class I, LVDS, pseudo-differential SSTL-2 and pseudo-differential SSTL-18 class I standards for both input and output operations. HSTL-18 class II, SSTL-18 class II, pseudo-differential HSTL and pseudo-differential SSTL-18 class II standards are only supported for input operations. (4)
Transmitter: Bank 14 Receiver: Bank 14 REFCLK: Bank 14
VREF0B1 VREF1B1
I/O banks 7, 8, 10 and 12 support all single-ended I/O standards for both input and output operations. All differential I/O standards are supported for both input and output operations at I/O banks 10 and 12. This I/O bank supports LVDS This I/O bank supports LVDS and LVPECL standards for input clock operation. and LVPECL standards for input clock Differential HSTL and differential operation. Differential HSTL and differential SSTL standards are supported SSTL standards are supported for both input and output operations. (3) for both input and output operations. (3)
VREF2B1
Bank 1
Transmitter: Bank 15 Receiver: Bank 15 REFCLK: Bank 15
Bank 8 PLL8 VREF4B8 VREF3B8 VREF2B8 VREF1B8 VREF0B8 DQS x8 DQS x8 DQS x8 DQS x8
Bank 12
Bank 10
Bank 7 VREF4B7 VREF3B7 VREF2B7 VREF1B7 VREF0B7 DQS x8 DQS x8 DQS x8 DQS x8 DQS x8
PLL12
PLL6
Notes to Figure 2-87:
(1) (2) (3) (4) Figure 2-87 is a top view of the silicon die that corresponds to a reverse view for flip-chip packages. It is a graphical representation only. Depending on the size of the device, different device members have different numbers of VREF groups. Refer to the pin list and the Quartus II software for exact locations. Banks 9 through 12 are enhanced PLL external clock output banks. Horizontal I/O banks feature SERDES and DPA circuitry for high-speed differential I/O standards. See the High-Speed Differential I/O Interfaces with DPA in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II Device Handbook 2 for more information on differential I/O standards.
Each I/O bank has its own VCCIO pins. A single device can support 1.5-, 1.8-, 2.5-, and 3.3-V interfaces; each bank can support a different VCCIO level independently. Each bank also has dedicated VREF pins to support the voltage-referenced standards (such as SSTL-2). Each I/O bank can support multiple standards with the same VCCIO for input and output pins. Each bank can support one VREF voltage level. For example, when VCCIO is 3.3 V, a bank can support LVTTL, LVCMOS, and 3.3-V PCI for inputs and outputs.
Altera Corporation October 2007
2-129 Stratix II GX Device Handbook, Volume 1
I/O Structure
On-Chip Termination
Stratix II GX devices provide differential (for the LVDS technology I/O standard) and series on-chip termination to reduce reflections and maintain signal integrity. On-chip termination simplifies board design by minimizing the number of external termination resistors required. Termination can be placed inside the package, eliminating small stubs that can still lead to reflections. Stratix II GX devices provide four types of termination:

Differential termination (RD) Series termination (RS) without calibration Series termination (RS) with calibration Parallel termination (RT) with calibration
Table 2-34 shows the Stratix II GX on-chip termination support per I/O bank.
Table 2-34. On-Chip Termination Support by I/O Banks (Part 1 of 2) On-Chip Termination Support I/O Standard Support
3.3-V LVTTL 3.3-V LVCMOS 2.5-V LVTTL 2.5-V LVCMOS 1.8-V LVTTL 1.8-V LVCMOS 1.5-V LVTTL Series termination without calibration 1.5-V LVCMOS SSTL-2 class I and II SSTL-18 class I SSTL-18 class II 1.8-V HSTL class I 1.8-V HSTL class II 1.5-V HSTL class I 1.2-V HSTL
Top and Bottom Banks (3, 4, 7, 8) v v v v v v v v v v v v v v v
Left Bank (1, 2) v v v v v v v v v v
--
v
--
v
--
2-130 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Table 2-34. On-Chip Termination Support by I/O Banks (Part 2 of 2) On-Chip Termination Support I/O Standard Support
3.3-V LVTTL 3.3-V LVCMOS 2.5-V LVTTL 2.5-V LVCMOS 1.8-V LVTTL 1.8-V LVCMOS Series termination with calibration 1.5-V LVTTL 1.5-V LVCMOS SSTL-2 class I and II SSTL-18 class I and II 1.8-V HSTL class I 1.8-V HSTL class II 1.5-V HSTL class I 1.2-V HSTL LVDS Differential termination (1) Note to Table 2-34:
(1) Clock pins CLK1 and CLK3, and pins FPLL[7..8]CLK do not support differential on-chip termination. Clock pins CLK0 and CLK2, do support differential on-chip termination. Clock pins in the top and bottom banks (CLK[4..7, 12..15]) do not support differential on-chip termination.
Top and Bottom Banks (3, 4, 7, 8) v v v v v v v v v v v v v v
-- --
Left Bank (1, 2)
-- -- -- -- -- -- -- -- -- -- -- -- -- --
v v
HyperTransport technology
Differential On-Chip Termination
Stratix II GX devices support internal differential termination with a nominal resistance value of 100 for LVDS input receiver buffers. LVPECL input signals (supported on clock pins only) require an external termination resistor. Differential on-chip termination is supported across the full range of supported differential data rates, as shown in the High-Speed I/O Specifications section of the DC & Switching Characteristics chapter in volume 1 of the Stratix II GX Device Handbook.
f
For more information on differential on-chip termination, refer to the High-Speed Differential I/O Interfaces with DPA in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook.
Altera Corporation October 2007
2-131 Stratix II GX Device Handbook, Volume 1
I/O Structure
f
For more information on tolerance specifications for differential on-chip termination, refer to the DC & Switching Characteristics chapter in volume 1 of the Stratix II GX Device Handbook.
On-Chip Series Termination without Calibration
Stratix II GX devices support driver impedance matching to provide the I/O driver with controlled output impedance that closely matches the impedance of the transmission line. As a result, reflections can be significantly reduced. Stratix II GX devices support on-chip series termination for single-ended I/O standards with typical RS values of 25 and 50 . Once matching impedance is selected, current drive strength is no longer selectable. Table 2-34 shows the list of output standards that support on-chip series termination without calibration.
f
For more information about series on-chip termination supported by Stratix II GX devices, refer to the Selectable I/O Standards in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook. For more information about tolerance specifications for on-chip termination without calibration, refer to the DC & Switching Characteristics chapter in volume 1 of the Stratix II GX Device Handbook.
f
On-Chip Series Termination with Calibration
Stratix II GX devices support on-chip series termination with calibration in column I/O pins in top and bottom banks. There is one calibration circuit for the top I/O banks and one circuit for the bottom I/O banks. Each on-chip series termination calibration circuit compares the total impedance of each I/O buffer to the external 25- or 50- resistors connected to the RUP and RDN pins, and dynamically enables or disables the transistors until they match. Calibration occurs at the end of device configuration. Once the calibration circuit finds the correct impedance, it powers down and stops changing the characteristics of the drivers.
f
For more information about series on-chip termination supported by Stratix II GX devices, refer to the Selectable I/O Standards in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook. For more information about tolerance specifications for on-chip termination with calibration, refer to the DC & Switching Characteristics chapter in volume 1 of the Stratix II GX Device Handbook.
f
2-132 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
On-Chip Parallel Termination with Calibration
Stratix II GX devices support on-chip parallel termination with calibration for column I/O pins only. There is one calibration circuit for the top I/O banks and one circuit for the bottom I/O banks. Each on-chip parallel termination calibration circuit compares the total impedance of each I/O buffer to the external 50- resistors connected to the RUP and RDN pins and dynamically enables or disables the transistors until they match. Calibration occurs at the end of device configuration. Once the calibration circuit finds the correct impedance, it powers down and stops changing the characteristics of the drivers. 1 On-chip parallel termination with calibration is only supported for input pins.
f
For more information about on-chip termination supported by Stratix II devices, refer to the Selectable I/O Standards in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook. For more information about tolerance specifications for on-chip termination with calibration, refer to the DC & Switching Characteristics chapter in volume 1 of the Stratix II GX Device Handbook.
f
MultiVolt I/O Interface
The Stratix II GX architecture supports the MultiVolt I/O interface feature that allows Stratix II GX devices in all packages to interface with systems of different supply voltages. The Stratix II GX VCCINT pins must always be connected to a 1.2-V power supply. With a 1.2-V VCCINT level, input pins are 1.2-, 1.5-, 1.8-, 2.5-, and 3.3-V tolerant. The VCCIO pins can be connected to either a 1.2-, 1.5-, 1.8-, 2.5-, or 3.3-V power supply, depending on the output requirements. The output levels are compatible with systems of the same voltage as the power supply (for example, when VCCIO pins are connected to a 1.5-V power supply, the output levels are compatible with 1.5-V systems). The Stratix II GX VCCPD power pins must be connected to a 3.3-V power supply. These power pins are used to supply the pre-driver power to the output buffers, which increases the performance of the output pins. The VCCPD pins also power configuration input pins and JTAG input pins.
Altera Corporation October 2007
2-133 Stratix II GX Device Handbook, Volume 1
I/O Structure
Table 2-35 summarizes Stratix II GX MultiVolt I/O support.
Table 2-35. Stratix II GX MultiVolt I/O Support Note (1) Input Signal (V) VCCIO (V)
1.2 1.5 1.8 2.5 3.3
(1)
Output Signal (V) 3.3
v (2) v (2) v (2) v v
1.2
(4) (4) (4) (4) (4)
1.5
v (2) v v -- --
1.8
v (2) v v -- --
2.5
v (2) v (2) v (2) v v
1.2
v (4) v (3)
1.5
-- v
1.8
-- -- v
2.5
-- -- -- v v (3)
3.3 5.0
-- -- -- -- v -- -- -- -- v
v (3) v (3)
v (3) v (3) v (3) v (3) v (3) v (3)
Notes to Table 2-35:
To drive inputs higher than VCCIO but less than 4.0 V, disable the PCI clamping diode and select the Allow LVTTL and LVCMOS input levels to overdrive input buffer option in the Quartus II software. The pin current may be slightly higher than the default value. You must verify that the driving device's VO L maximum and VO H minimum voltages do not violate the applicable Stratix II GX VI L maximum and VI H minimum voltage specifications. Although VCCIO specifies the voltage necessary for the Stratix II GX device to drive out, a receiving device powered at a different level can still interface with the Stratix II GX device if it has inputs that tolerate the VCCIO value. Stratix II GX devices support 1.2-V HSTL. They do not support 1.2-V LVTTL and 1.2-V LVCMOS.
(2)
(3)
(4)
The TDO and nCEO pins are powered by VCCIO of the bank that they reside. TDO is in I/O bank 4 and nCEO is in I/O bank 7. Ideally, the VCC supplies for the I/O buffers of any two connected pins are at the same voltage level. This may not always be possible depending on the VCCIO level of TDO and nCEO pins on master devices and the configuration voltage level chosen by VCCSEL on slave devices. Master and slave devices can be in any position in the chain. Master indicates that it is driving out TDO or nCEO to a slave device. For multi-device passive configuration schemes, the nCEO pin of the master device drives the nCE pin of the slave device. The VCCSEL pin on the slave device selects which input buffer is used for nCE. When VCCSEL is logic high, it selects the 1.8-V/1.5-V buffer powered by VCCIO. When VCCSEL is logic low, it selects the 3.3-V/2.5-V input buffer powered by VCCPD. The ideal case is to have the VCCIO of the nCEO bank in a master device match the VCCSEL settings for the nCE input buffer of the slave device it is connected to, but that may not be possible depending on the application.
2-134 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Table 2-36 contains board design recommendations to ensure that nCEO can successfully drive nCE for all power supply combinations.
Table 2-36. Board Design Recommendations for nCEO and nCE Input Buffer Power nCE Input Buffer Power in I/O Bank 3
VCCSEL high (VC C I O Bank 3 = 1.5 V) VCCSEL high (VC C I O Bank 3 = 1.8 V) VCCSEL low (nCE powered by VC C P D = 3.3 V)
Notes to Table 2-36:
(1) (2) (3) (4) (5) (6) Input buffer is 3.3-V tolerant. The nCEO output buffer meets VO H (MIN) = 2.4 V. Input buffer is 2.5-V tolerant. The nCEO output buffer meets VOH (MIN) = 2.0 V. Input buffer is 1.8-V tolerant. An external 250- pull-up resistor is not required, but recommended if signal levels on the board are not optimal.
Stratix II GX nCEO VCCIO Voltage Level in I/O Bank 7 VC C I O = 3.3 V VC C I O = 2.5 V v(1), (2) v (1), (2) v v (3), (4) v (3), (4) v (4) VC C I O = 1.8 V VC C I O = 1.5 V VC C I O = 1.2 V v (5) v v (6) v v
Level shifter required
v
Level shifter required Level shifter required
For JTAG chains, the TDO pin of the first device drives the TDI pin of the second device in the chain. The VCCSEL input on the JTAG input I/O cells (TCK, TMS, TDI, and TRST) is internally hardwired to GND selecting the 3.3-V/2.5-V input buffer powered by VCCPD. The ideal case is to have the VCCIO of the TDO bank from the first device match the VCCSEL settings for TDI on the second device, but that may not be possible depending on the application. Table 2-37 contains board design recommendations to ensure proper JTAG chain operation.
Table 2-37. Supported TDO/TDI Voltage Combinations (Part 1 of 2) Device TDI Input Buffer Power Stratix II GX TDO VC C I O Voltage Level in I/O Bank 4 VC C I O = 3.3 V v (1) VC C I O = 2.5 V v (2) VC C I O = 1.8 V VC C I O = 1.5 V VC C I O = 1.2 V v (3)
Level shifter required Level shifter required
Stratix II GX Always VC C P D (3.3 V)
Altera Corporation October 2007
2-135 Stratix II GX Device Handbook, Volume 1
High-Speed Differential I/O with DPA Support
Table 2-37. Supported TDO/TDI Voltage Combinations (Part 2 of 2) Device TDI Input Buffer Power Stratix II GX TDO VC C I O Voltage Level in I/O Bank 4 VC C I O = 3.3 V v (1) v (1), (4) v (1), (4) v (1), (4) VC C I O = 2.5 V v (2) v (2) v (2), (5) v (2), (5) VC C I O = 1.8 V VC C I O = 1.5 V VC C I O = 1.2 V v (3) v (3) v v (6)
Level shifter required Level shifter required Level shifter required Level shifter required Level shifter required Level shifter required
NonVCC = 3.3 V Stratix II GX VCC = 2.5 V VCC = 1.8 V VCC = 1.5 V Notes to Table 2-37:
(1) (2) (3) (4) (5) (6)
v
v
The TDO output buffer meets VOH (MIN) = 2.4 V. The TDO output buffer meets VOH (MIN) = 2.0 V. An external 250- pull-up resistor is not required, but recommended if signal levels on the board are not optimal. Input buffer must be 3.3-V tolerant. Input buffer must be 2.5-V tolerant. Input buffer must be 1.8-V tolerant.
High-Speed Differential I/O with DPA Support
Stratix II GX devices contain dedicated circuitry for supporting differential standards at speeds up to 1 Gbps. The LVDS differential I/O standards are supported in the Stratix II GX device. In addition, the LVPECL I/O standard is supported on input and output clock pins on the top and bottom I/O banks. The high-speed differential I/O circuitry supports the following high-speed I/O interconnect standards and applications:

SPI-4 Phase 2 (POS-PHY Level 4) SFI-4 Parallel RapidIO standard
There are two dedicated high-speed PLLs in the EP2SGX30 device and four dedicated high-speed PLLs in the EP2SGX60, EP2SGX90, and EP2SGX130 devices to multiply reference clocks and drive high-speed differential SERDES channels. Tables 2-38 through 2-41 show the number of channels that each Fast PLL can clock in each of the Stratix II GX devices. In Tables 2-38 through 2-41, the first row for each transmitter or receiver provides the number of channels driven directly by the PLL. The second row below it shows the maximum channels a Fast PLL can drive if cross bank channels are used from the adjacent center Fast PLL. For example, in the 780-pin FineLine BGA EP2SGX30 device, PLL 1 can drive a maximum of
2-136 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
16 transmitter channels in I/O bank 1 or a maximum of 29 transmitter channels in I/O banks 1 and 2. The Quartus II software can also merge receiver and transmitter PLLs when a receiver is driving a transmitter. In this case, one fast PLL can drive both the maximum numbers of receiver and transmitter channels.
Table 2-38. EP2SGX30 Device Differential Channels Package Transmitter/Receiver
Transmitter Receiver
Note (1) Center Fast PLLs Package
Total Channels PLL1 PLL2
13 14 29 31 16 17
780-pin FineLine BGA
Table 2-39. EP2SGX60 Device Differential Channels Package
Note (1) Center Fast PLLs Corner Fast PLLs PLL7
-- -- 21 21
Transmitter/Receiver Total Channels PLL1 PLL2
13 14 21 21
PLL8
-- -- 21 21
780-pin FineLine BGA
Transmitter Receiver Transmitter Receiver
29 31 42 42
16 17 21 21
1,152-pin FineLine BGA
Table 2-40. EP2SGX90 Device Differential Channels Package Transmitter/Receiver
Transmitter Receiver Transmitter Receiver
Note (1) Center Fast PLLs PLL1
23 23 30 30
Total Channels
45 47 59 59
Corner Fast PLLs PLL7
23 23 29 29
PLL2
22 24 29 29
PLL8
22 24 29 29
1,152-pin FineLine BGA
1,508-pin FineLine BGA
Altera Corporation October 2007
2-137 Stratix II GX Device Handbook, Volume 1
High-Speed Differential I/O with DPA Support
Table 2-41. EP2SGX130 Device Differential Channels Package Transmitter/Receiver
Transmitter Receiver
Note (1) Center Fast PLLs PLL1
37 37
Total Channels
71 73
Corner Fast PLLs PLL7
37 37
PLL2
41 41
PLL8
41 41
1508-pin FineLine BGA
Note to Tables 2-38 through 2-41:
(1) The total number of receiver channels includes the four non-dedicated clock channels that can be optionally used as data channels.
Therefore, the total number of channels is not the addition of the number of channels accessible by PLLs 1 and 2 with the number of channels accessible by PLLs 7 and 8.
Dedicated Circuitry with DPA Support
Stratix II GX devices support source-synchronous interfacing with LVDS signaling at up to 1 Gbps. Stratix II GX devices can transmit or receive serial channels along with a low-speed or high-speed clock. The receiving device PLL multiplies the clock by an integer factor W = 1 through 32. The SERDES factor J determines the parallel data width to deserialize from receivers or to serialize for transmitters. The SERDES factor J can be set to 4, 5, 6, 7, 8, 9, or 10 and does not have to equal the PLL clock-multiplication W value. A design using the dynamic phase aligner also supports all of these J factor values. For a J factor of 1, the Stratix II GX device bypasses the SERDES block. For a J factor of 2, the Stratix II GX device bypasses the SERDES block, and the DDR input and output registers are used in the IOE. Figure 2-88 shows the block diagram of the Stratix II GX transmitter channel.
2-138 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Figure 2-88. Stratix II GX Transmitter Channel
Data from R4, R24, C4, or direct link interconnect
+ - 10 10
Up to 1 Gbps
Local Interconnect
Dedicated Transmitter Interface
diffioclk refclk Fast PLL load_en Regional or global clock
Each Stratix II GX receiver channel features a DPA block for phase detection and selection, a SERDES, a synchronizer, and a data realigner circuit. You can bypass the dynamic phase aligner without affecting the basic source-synchronous operation of the channel. In addition, you can dynamically switch between using the DPA block or bypassing the block via a control signal from the logic array.
Altera Corporation October 2007
2-139 Stratix II GX Device Handbook, Volume 1
High-Speed Differential I/O with DPA Support
Figure 2-89 shows the block diagram of the Stratix II GX receiver channel. Figure 2-89. Stratix II GX Receiver Channel
Data to R4, R24, C4, or direct link interconnect Up to 1 Gbps
+ - D Q
Data Realignment Circuitry
10
data
retimed_data DPA DPA_clk Synchronizer
Dedicated Receiver Interface
Eight Phase Clocks
8
diffioclk refclk Fast PLL load_en Regional or global clock
An external pin or global or regional clock can drive the fast PLLs, which can output up to three clocks: two multiplied high-speed clocks to drive the SERDES block and/or external pin, and a low-speed clock to drive the logic array. In addition, eight phase-shifted clocks from the VCO can feed to the DPA circuitry.
f
For more information on the fast PLL, see the PLLs in Stratix II GX Devices chapter in volume 2 of the Stratix II GX Handbook. The eight phase-shifted clocks from the fast PLL feed to the DPA block. The DPA block selects the closest phase to the center of the serial data eye to sample the incoming data. This allows the source-synchronous circuitry to capture incoming data correctly regardless of the channel-to-channel or clock-to-channel skew. The DPA block locks to a phase closest to the serial data phase. The phase-aligned DPA clock is used to write the data into the synchronizer. The synchronizer sits between the DPA block and the data realignment and SERDES circuitry. Since every channel utilizing the DPA block can have a different phase selected to sample the data, the synchronizer is needed to synchronize the data to the high-speed clock domain of the data realignment and the SERDES circuitry.
2-140 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
For high-speed source synchronous interfaces such as POS-PHY 4 and the Parallel RapidIO standard, the source synchronous clock rate is not a byte- or SERDES-rate multiple of the data rate. Byte alignment is necessary for these protocols because the source synchronous clock does not provide a byte or word boundary since the clock is one half the data rate, not one eighth. The Stratix II GX device's high-speed differential I/O circuitry provides dedicated data realignment circuitry for user-controlled byte boundary shifting. This simplifies designs while saving ALM resources. You can use an ALM-based state machine to signal the shift of receiver byte boundaries until a specified pattern is detected to indicate byte alignment.
Fast PLL and Channel Layout
The receiver and transmitter channels are interleaved such that each I/O bank on the left side of the device has one receiver channel and one transmitter channel per LAB row. Figure 2-90 shows the fast PLL and channel layout in the EP2SGX30C/D and EP2SGX60C/D devices. Figure 2-91 shows the fast PLL and channel layout in EP2SGX60E, EP2SGX90E/F, and EP2SGX130G devices. Figure 2-90. Fast PLL and Channel Layout in the EP2SGX30C/D and EP2SGX60C/D Devices
4 LVDS Clock 4 2 Fast PLL 1 DPA Clock Quadrant Quadrant
Note (1)
2
Fast PLL 2
4
LVDS Clock
DPA Clock
Quadrant
Quadrant
Note to Figure 2-90:
(1) See Table 2-38 for the number of channels each device supports.
Altera Corporation October 2007
2-141 Stratix II GX Device Handbook, Volume 1
Referenced Documents
Figure 2-91. Fast PLL and Channel Layout in the EP2SGX60E to EP2SGX130 Devices
Fast PLL 7 2 4 LVDS Clock 4 2 Fast PLL 1 DPA Clock Quadrant
Note (1)
Quadrant
2
Fast PLL 2
4
LVDS Clock
DPA Clock
Quadrant
Quadrant
2 Fast PLL 8
Note to Figure 2-91:
(1) See Tables 2-39 through Tables 2-41 for the number of channels each device supports.
Referenced Documents
This chapter references the following documents:

DC & Switching Characteristics chapter in volume 1 of the Stratix II GX Handbook DSP Blocks in Stratix II GX Devices chapter in Volume 2 of the Stratix II GX Device Handbook External Memory Interfaces in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook High-Speed Differential I/O Interfaces with DPA in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Handbook PLLs in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook Selectable I/O Standards in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Handbook Stratix II GX Device Handbook, volume 2 Stratix II GX Transceiver Architecture Overview chapter in volume 2 of the Stratix II GX Handbook
2-142 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture

Stratix II Performance and Logic Efficiency Analysis White Paper TriMatrix Embedded Memory Blocks in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook
Document Revision History
Table 2-42 shows the revision history for this chapter.
Table 2-42. Document Revision History (Part 1 of 6) Date and Document Version
October 2007, v2.2
Changes Made
Updated: "Programmable Pull-Up Resistor" "Reverse Serial Pre-CDR Loopback" "Receiver Input Buffer" "Pattern Detection" "Control and Status Signals" "Individual Power Down and Reset for the Transmitter and Receiver" Updated: Figure 2-14 Figure 2-26 Figure 2-27 Figure 2-86 (notes only) Figure 2-87 Updated: Table 2-4 Table 2-7 Removed note from Table 2-31. Removed Tables 2-2, 2-7, and 2-8. Minor text edits.
Summary of Changes
August 2007, v2.1 Added "Reverse Serial Pre-CDR Loopback" section. Updated Table 2-2. Added "Referenced Documents" section.
Altera Corporation October 2007
2-143 Stratix II GX Device Handbook, Volume 1
Document Revision History
Table 2-42. Document Revision History (Part 2 of 6) Date and Document Version
February 2007 v2.0
Changes Made
Added Chapter 02 "Stratix II GX Transceivers" to the beginning of Chapter 03 "Stratix II GX Architecture". Changed chapter number to Chapter 02. Added the "Document Revision History" section to this chapter. Moved the "Stratix II GX Transceiver Clocking" section to after the "Receiver Path" section.
Summary of Changes
Combined Chapter 02 "Stratix II GX Transceivers" and Chapter 03 "Stratix II GX Architecture" in the new Chapter 02 "Stratix II GX Architecture"
2-144 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Table 2-42. Document Revision History (Part 3 of 6) Date and Document Version Changes Made
Moved the "Transmit State Machine" section to after the "8B/10B Encoder" section. Moved the "PCI Express Receiver Detect" and "PCI Express Electric Idles (or Individual Transmitter Tri-State)" sections to after the "Transmit Buffer" section. Moved the "Dynamic Reconfiguration" section to the "Other Transceiver Features" section. Moved the "Calibration Block", "Receiver PLL & CRU", and "Deserializer (Serial-to-Parallel Converter)" sections to the "Receiver Path" section. Moved the "8B/10B Decoder" and "Receiver State Machine" sections to after the "Rate Matcher" section. Moved the "Byte Ordering Block" section to after the "Byte Deserializer" section. Updated the Clocking diagrams. Added the "Clock Resource for PLDTransceiver Interface" section. Added the "On-Chip Parallel Termination with Calibration" section to the "On-Chip Termination" section. Updated: Table 2-2. Table 2-10 Table 2-14. Table 2-3. Table 2-5. Table 2-8. Table 2-13 Table 2-18 Table 2-19 Table 2-29. Updated Figures 2-3, 2-9, 2-24, 2-25, 2-28, 2-29, 2-60, 2-62. Change 622 Mbps to 600 Mbps throughout the chapter.
Summary of Changes
Altera Corporation October 2007
2-145 Stratix II GX Device Handbook, Volume 1
Document Revision History
Table 2-42. Document Revision History (Part 4 of 6) Date and Document Version Changes Made
Updated: "Transmitter PLLs" "Transmitter Phase Compensation FIFO Buffer" "8B/10B Encoder" "Byte Serializer" "Programmable Output Driver" "Receiver PLL & CRU" "Programmable Pre-Emphasis" "Receiver Input Buffer" "Control and Status Signals" "Programmable Run Length Violation" "Channel Aligner" "Basic Mode" "Byte Ordering Block" "Receiver Phase Compensation FIFO Buffer" "Loopback Modes" "Serial Loopback" "Parallel Loopback" "Regional Clock Network" "MultiVolt I/O Interface" "High-Speed Differential I/O with DPA Support" Updated bulleted lists at the beginning of the "Transceivers" section. Added reference to the "Transmit Buffer" section. Deleted the Programmable VOD table from the "Programmable Output Driver" section. Changed "PLD Interface" heading to "Parallel Data Width" heading in Table 2-14. Deleted "Global & Regional Clock Connections from Right Side Clock Pins & Fast PLL Outputs" table. Updated notes to Tables 2-29 and 2-37. Updated notes to Figures 2-72, 2-73 and 2-74. Updated bulleted list in the "Advanced I/O Standard Support" section.
Summary of Changes
2-146 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Stratix II GX Architecture
Table 2-42. Document Revision History (Part 5 of 6) Date and Document Version
Previous Chapter 02 changes: June 2006, v1.2

Changes Made
Summary of Changes
Updated input frequency range in Updated notes 1 and 2 in Figure 2-1. Table 2-4. Updated "Byte Serializer" section. Updated Tables 2-4, 2-7, and 2-16. Updated "Programmable Output Driver" section. Updated Figure 2-12. Updated "Programmable Pre-Emphasis" section. Added Table 2-11. Added "Dynamic Reconfiguration" section. Added "Calibration Block" section. Updated "Programmable Equalizer" section, including addition of Figure 2-18. Updated Figure 2-3. Updated Figure 2-7. Updated Table 2-4. Updated "Transmit Buffer" section. Updated input frequency range in Table 2-4.
Previous Chapter 02 changes: April 2006, v1.1 Previous Chapter 02 changes: October 2005 v1.0 Previous Chapter 03 changes: August 2006, v1.4 Previous Chapter 03 changes: June 2006, v1.3 Previous Chapter 03 changes: April 2006, v1.2

Added chapter to the Stratix II GX Device Handbook.
Updated Table 3-18 with note.

Updated note 2 in Figure 3-41. Updated column title in Table 3-21. Updated note 1 in Table 3-9. Updated note 1 in Figure 3-40. Updated note 2 in Figure 3-41. Updated Table 3-16. Updated Figure 3-56. Updated Tables 3-19 through 3-22. Updated Tables 3-25 and 3-26. Updated "Fast PLL & Channel Layout" section. Added 1,152-pin FineLine BGA package information for EP2SGX60 device in Table 3-16.

Altera Corporation October 2007
2-147 Stratix II GX Device Handbook, Volume 1
Document Revision History
Table 2-42. Document Revision History (Part 6 of 6) Date and Document Version
Previous Chapter 03 changes: December 2005 v1.1 Previous Chapter 03 changes: October 2005 v1.0
Changes Made
Updated Figure 3-56.
Summary of Changes
Added chapter to the Stratix II GX Device Handbook.
2-148 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
3. Configuration & Testing
SIIGX51005-1.4
IEEE Std. 1149.1 JTAG BoundaryScan Support
All Stratix(R) II GX devices provide Joint Test Action Group (JTAG) boundary-scan test (BST) circuitry that complies with the IEEE Std. 1149.1. You can perform JTAG boundary-scan testing either before or after, but not during configuration. Stratix II GX devices can also use the JTAG port for configuration with the Quartus(R) II software or hardware using either Jam Files (.jam) or Jam Byte-Code Files (.jbc). Stratix II GX devices support IOE I/O standard setting reconfiguration through the JTAG BST chain. The JTAG chain can update the I/O standard for all input and output pins any time before or during user mode through the CONFIG_IO instruction. You can use this capability for JTAG testing before configuration when some of the Stratix II GX pins drive or receive from other devices on the board using voltage-referenced standards. Since the Stratix II GX device may not be configured before JTAG testing, the I/O pins may not be configured for appropriate electrical standards for chip-to-chip communication. Programming these I/O standards via JTAG allows you to fully test I/O connections to other devices. A device operating in JTAG mode uses four required pins, TDI, TDO, TMS, and TCK, and one optional pin, TRST. The TCK pin has an internal weak pull-down resistor, while the TDI, TMS, and TRST pins have weak internal pull-up resistors. The JTAG input pins are powered by the 3.3-V VCCPD pins. The TDO output pin is powered by the VCCIO power supply in I/O bank 4. Stratix II GX devices also use the JTAG port to monitor the logic operation of the device with the SignalTap(R) II embedded logic analyzer. Stratix II GX devices support the JTAG instructions shown in Table 3-1. 1 Stratix II GX devices must be within the first eight devices in a JTAG chain. All of these devices have the same JTAG controller. If any of the Stratix II GX devices appear after the eighth device in the JTAG chain, they will fail configuration. This does not affect SignalTap II embedded logic analysis.
Altera Corporation October 2007
3-1
IEEE Std. 1149.1 JTAG Boundary-Scan Support
Table 3-1. Stratix II GX JTAG Instructions JTAG Instruction
SAMPLE/PRELOAD
Instruction Code
00 0000 0101
Description
Allows a snapshot of signals at the device pins to be captured and examined during normal device operation and permits an initial data pattern to be output at the device pins. Also used by the SignalTap II embedded logic analyzer. Allows the external circuitry and board-level interconnects to be tested by forcing a test pattern at the output pins and capturing test results at the input pins. Places the 1-bit bypass register between the TDI and TDO pins, which allows the BST data to pass synchronously through selected devices to adjacent devices during normal device operation. Selects the 32-bit USERCODE register and places it between the TDI and TDO pins, allowing the USERCODE to be serially shifted out of TDO. Selects the IDCODE register and places it between TDI and TDO, allowing the IDCODE to be serially shifted out of TDO. Places the 1-bit bypass register between the TDI and TDO pins, which allows the BST data to pass synchronously through selected devices to adjacent devices during normal device operation, while tri-stating all of the I/O pins. Places the 1-bit bypass register between the TDI and TDO pins, which allows the BST data to pass synchronously through selected devices to adjacent devices during normal device operation while holding the I/O pins to a state defined by the data in the boundaryscan register. Used when configuring a Stratix II GX device via the JTAG port with a USB-BlasterTM, MasterBlasterTM, ByteBlasterMVTM, or ByteBlaster II download cable, or when using a .jam or .jbc via an embedded processor or JRunner.
EXTEST(1)
00 0000 1111
BYPASS
11 1111 1111
USERCODE
00 0000 0111
IDCODE HIGHZ (1)
00 0000 0110 00 0000 1011
CLAMP (1)
00 0000 1010
ICR instructions
PULSE_NCONFIG CONFIG_IO (2)
00 0000 0001 00 0000 1101
Emulates pulsing the nCONFIG pin low to trigger reconfiguration even though the physical pin is unaffected. Allows configuration of I/O standards through the JTAG chain for JTAG testing. Can be executed before, during, or after configuration. Stops configuration if executed during configuration. Once issued, the CONFIG_IO instruction holds nSTATUS low to reset the configuration device. nSTATUS is held low until the IOE configuration register is loaded and the TAP controller state machine transitions to the UPDATE_DR state. Monitors internal device operation with the SignalTap II embedded logic analyzer.
SignalTap II instructions Notes to Table 3-1:
(1) (2)
Bus hold and weak pull-up resistor features override the high-impedance state of HIGHZ, CLAMP, and EXTEST. For more information on using the CONFIG_IO instruction, refer to the MorphIO: An I/O Reconfiguration Solution for Altera Devices White Paper.
3-2 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Configuration & Testing
The Stratix II GX device instruction register length is 10 bits and the USERCODE register length is 32 bits. Tables 3-2 and 3-3 show the boundaryscan register length and device IDCODE information for Stratix II GX devices.
Table 3-2. Stratix II GX Boundary-Scan Register Length Device
EP2SGX30 EP2SGX60 EP2SGX90 EP2SGX130
Boundary-Scan Register Length
1,320 1,506 2,016 2,454
Table 3-3. 32-Bit Stratix II GX Device IDCODE IDCODE (32 Bits) Device Version (4 Bits)
EP2SGX30 EP2SGX60 EP2SGX90 EP2SGX130
Part Number (16 Bits)
0010 0000 1110 0001 0010 0000 1110 0010 0010 0000 1110 0011 0010 0000 1110 0100
Manufacturer Identity (11 Bits)
000 0110 1110 000 0110 1110 000 0110 1110 000 0110 1110
LSB (1 Bit)
1 1 1 1
0000 0000 0000 0000
SignalTap II Embedded Logic Analyzer
Stratix II GX devices feature the SignalTap II embedded logic analyzer, which monitors design operation over a period of time through the IEEE Std. 1149.1 (JTAG) circuitry. You can analyze internal logic at speed without bringing internal signals to the I/O pins. This feature is particularly important for advanced packages, such as FineLine BGA packages, because it can be difficult to add a connection to a pin during the debugging process after a board is designed and manufactured. The logic, circuitry, and interconnects in the Stratix II GX architecture are configured with CMOS SRAM elements. Altera(R) FPGAs are reconfigurable and every device is tested with a high coverage production test program so you do not have to perform fault testing and can instead focus on simulation and design verification. Stratix II GX devices are configured at system power-up with data stored in an Altera configuration device or provided by an external controller (for example, a MAX(R) II device or microprocessor). You can configure Stratix II GX devices using the fast passive parallel (FPP), active serial
Configuration
Altera Corporation October 2007
3-3 Stratix II GX Device Handbook, Volume 1
Configuration
(AS), passive serial (PS), passive parallel asynchronous (PPA), and JTAG configuration schemes. The Stratix II GX device's optimized interface allows microprocessors to configure it serially or in parallel and synchronously or asynchronously. The interface also enables microprocessors to treat Stratix II GX devices as memory and configure them by writing to a virtual memory location, making reconfiguration easy. In addition to the number of configuration methods supported, Stratix II GX devices also offer the design security, decompression, and remote system upgrade features. The design security feature, using configuration bitstream encryption and advanced encryption standard (AES) technology, provides a mechanism to protect designs. The decompression feature allows Stratix II GX FPGAs to receive a compressed configuration bitstream and decompress this data in realtime, reducing storage requirements and configuration time. The remote system upgrade feature allows real-time system upgrades from remote locations of Stratix II GX designs. For more information, refer to the "Configuration Schemes" on page 3-6.
Operating Modes
The Stratix II GX architecture uses SRAM configuration elements that require configuration data to be loaded each time the circuit powers up. The process of physically loading the SRAM data into the device is called configuration. During initialization, which occurs immediately after configuration, the device resets registers, enables I/O pins, and begins to operate as a logic device. The I/O pins are tri-stated during power-up, and before and during configuration. Together, the configuration and initialization processes are called command mode. Normal device operation is called user mode. SRAM configuration elements allow you to reconfigure Stratix II GX devices in-circuit by loading new configuration data into the device. With real-time reconfiguration, the device is forced into command mode with a device pin. The configuration process loads different configuration data, re-initializes the device, and resumes user-mode operation. You can perform in-field upgrades by distributing new configuration files either within the system or remotely. The PORSEL pin is a dedicated input used to select power-on reset (POR) delay times of 12 ms or 100 ms during power up. When the PORSEL pin is connected to ground, the POR time is 100 ms. When the PORSEL pin is connected to VCC, the POR time is 12 ms.
3-4 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Configuration & Testing
The nIO_PULLUP pin is a dedicated input that chooses whether the internal pull-up resistors on the user I/O pins and dual-purpose configuration I/O pins (nCSO, ASDO, DATA[7..0], nWS, nRS, RDYnBSY, nCS, CS, RUnLU, PGM[2..0], CLKUSR, INIT_DONE, DEV_OE, DEV_CLR) are on or off before and during configuration. A logic high (1.5, 1.8, 2.5, 3.3 V) turns off the weak internal pull-up resistors, while a logic low turns them on. Stratix II GX devices also offer a new power supply, VCCPD, which must be connected to 3.3 V in order to power the 3.3-V/2.5-V buffer available on the configuration input pins and JTAG pins. VCCPD applies to all the JTAG input pins (TCK, TMS, TDI, and TRST) and the following configuration pins: nCONFIG, DCLK (when used as an input), nIO_PULLUP, DATA[7..0], RUnLU, nCE, nWS, nRS, CS, nCS, and CLKUSR. The VCCSEL pin allows the VCCIO setting (of the banks where the configuration inputs reside) to be independent of the voltage required by the configuration inputs. Therefore, when selecting the VCCIO voltage, you do not have to take the VIL and VIH levels driven to the configuration inputs into consideration. The configuration input pins, nCONFIG, DCLK (when used as an input), nIO_PULLUP, RUnLU, nCE, nWS, nRS, CS, nCS, and CLKUSR, have a dual buffer design: a 3.3-V/2.5-V input buffer and a 1.8-V/1.5-V input buffer. The VCCSEL input pin selects which input buffer is used. The 3.3-V/2.5-V input buffer is powered by VCCPD, while the 1.8V/1.5-V input buffer is powered by VCCIO. VCCSEL is sampled during power-up. Therefore, the VCCSEL setting cannot change on-the-fly or during a reconfiguration. The VCCSEL input buffer is powered by VCCINT and must be hardwired to VCCPD or ground. A logic high VCCSEL connection selects the 1.8-V/1.5-V input buffer; a logic low selects the 3.3-V/2.5-V input buffer. VCCSEL should be set to comply with the logic levels driven out of the configuration device or the MAX II microprocessor. If the design must support configuration input voltages of 3.3 V/2.5 V, set VCCSEL to a logic low. You can set the VCCIO voltage of the I/O bank that contains the configuration inputs to any supported voltage. If the design must support configuration input voltages of 1.8 V/1.5 V, set VCCSEL to a logic high and the VCCIO of the bank that contains the configuration inputs to 1.8 V/1.5 V.
f
For more information on multi-volt support, including information on using TDO and nCEO in multi-volt systems, refer to the Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook.
Altera Corporation October 2007
3-5 Stratix II GX Device Handbook, Volume 1
Configuration
Configuration Schemes
You can load the configuration data for a Stratix II GX device with one of five configuration schemes (refer to Table 3-4), chosen on the basis of the target application. You can use a configuration device, intelligent controller, or the JTAG port to configure a Stratix II GX device. A configuration device can automatically configure a Stratix II GX device at system power-up. Multiple Stratix II GX devices can be configured in any of the five configuration schemes by connecting the configuration enable (nCE) and configuration enable output (nCEO) pins on each device. Stratix II GX FPGAs offer the following:

Configuration data decompression to reduce configuration file storage Design security using configuration data encryption to protect designs Remote system upgrades for remotely updating Stratix II GX designs
Table 3-4 summarizes which configuration features can be used in each configuration scheme.
f
Refer to the Configuring Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook for more information about configuration schemes in Stratix II GX devices.
Table 3-4. Stratix II GX Configuration Features (Part 1 of 2) Configuration Scheme
FPP AS
Configuration Method
MAX II device or microprocessor and flash device Enhanced configuration device Serial configuration device MAX II device or microprocessor and flash device
Design Security Decompression v (1) v (1) v (2) v v v v v v v v
Remote System Upgrade v v v (3) v v v
PS
Enhanced configuration device Download cable (4)
PPA
MAX II device or microprocessor and flash device
3-6 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Configuration & Testing
Table 3-4. Stratix II GX Configuration Features (Part 2 of 2) Configuration Scheme
JTAG
Configuration Method
Download cable (4) MAX II device or microprocessor and flash device
Design Security Decompression
Remote System Upgrade
Notes for Table 3-4:
(1) (2) (3) (4) In these modes, the host system must send a DCLK that is 4x the data rate. The enhanced configuration device decompression feature is available, while the Stratix II GX decompression feature is not available. Only remote update mode is supported when using the AS configuration scheme. Local update mode is not supported. The supported download cables include the Altera USB-Blaster universal serial bus (USB) port download cable, MasterBlaster serial/USB communications cable, ByteBlaster II parallel port download cable, and the ByteBlasterMV parallel port download cable.
Device Security Using Configuration Bitstream Encryption
Stratix II and Stratix II GX FPGAs are the industry's first FPGAs with the ability to decrypt a configuration bitstream using the AES algorithm. When using the design security feature, a 128-bit security key is stored in the Stratix II GX FPGA. To successfully configure a Stratix II GX FPGA that has the design security feature enabled, the device must be configured with a configuration file that was encrypted using the same 128-bit security key. The security key can be stored in non-volatile memory inside the Stratix II GX device. This nonvolatile memory does not require any external devices, such as a battery back up, for storage. 1 An encrypted configuration file is the same size as a non-encrypted configuration file. When using a serial configuration scheme such as passive serial (PS) or active serial (AS), configuration time is the same whether or not the design security feature is enabled. If the fast passive parallel (FPP) scheme is used with the design security or decompression feature, a 4x DCLK is required. This results in a slower configuration time when compared to the configuration time of an FPGA that has neither the design security nor the decompression feature enabled. For more information about this feature, contact an Altera sales representative.
Device Configuration Data Decompression
Stratix II GX FPGAs support decompression of configuration data, which saves configuration memory space and time. This feature allows you to store compressed configuration data in configuration devices or other
Altera Corporation October 2007
3-7 Stratix II GX Device Handbook, Volume 1
Configuration
memory, and transmit this compressed bitstream to Stratix II GX FPGAs. During configuration, the Stratix II GX FPGA decompresses the bitstream in real time and programs its SRAM cells. Stratix II GX FPGAs support decompression in the FPP (when using a MAX II device or microprocessor and flash memory), AS, and PS configuration schemes. Decompression is not supported in the PPA configuration scheme nor in JTAG-based configuration.
Remote System Upgrades
Shortened design cycles, evolving standards, and system deployments in remote locations are difficult challenges faced by system designers. Stratix II GX devices can help effectively deal with these challenges with their inherent re programmability and dedicated circuitry to perform remote system updates. Remote system updates help deliver feature enhancements and bug fixes without costly recalls, reducing time to market, and extending product life. Stratix II GX FPGAs feature dedicated remote system upgrade circuitry to facilitate remote system updates. Soft logic (Nios processor or user logic) implemented in the Stratix II GX device can download a new configuration image from a remote location, store it in configuration memory, and direct the dedicated remote system upgrade circuitry to initiate a reconfiguration cycle. The dedicated circuitry performs error detection during and after the configuration process, recovers from any error condition by reverting back to a safe configuration image, and provides error status information. This dedicated remote system upgrade circuitry avoids system downtime and is the critical component for successful remote system upgrades. Remote system configuration is supported in the following Stratix II GX configuration schemes: FPP, AS, PS, and PPA. Remote system configuration can also be implemented in conjunction with Stratix II GX features such as real-time decompression of configuration data and design security using AES for secure and efficient field upgrades.
f
Refer to the Remote System Upgrades with Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook for more information about remote configuration in Stratix II GX devices.
Configuring Stratix II GX FPGAs with JRunner
The JRunnerTM software driver configures Altera FPGAs, including Stratix II GX FPGAs, through the ByteBlaster II or ByteBlasterMV cables in JTAG mode. The programming input file supported is in Raw Binary File (.rbf) format. JRunner also requires a Chain Description File (.cdf)
3-8 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Configuration & Testing
generated by the Quartus II software. JRunner is targeted for embedded JTAG configuration. The source code is developed for the Windows NT operating system (OS), but can be customized to run on other platforms.
f
For more information on the JRunner software driver, refer to the AN 414: An Embedded Solution for PLD JTAG Configuration and the source files on the Altera web site (www.altera.com).
Programming Serial Configuration Devices with SRunner
A serial configuration device can be programmed in-system by an external microprocessor using SRunner. SRunner is a software driver developed for embedded serial configuration device programming that can be easily customized to fit into different embedded systems. SRunner reads a Raw Programming Data file (.rpd) and writes to serial configuration devices. The serial configuration device programming time using SRunner is comparable to the programming time when using the Quartus II software.
f
For more information about SRunner, refer to the AN 418 SRunner: An Embedded Solution for Serial Configuration Device Programming and the source code on the Altera web site. For more information on programming serial configuration devices, refer to the Serial Configuration Devices (EPCS1, EPCS4, EPCS64, and EPCS128) Data Sheet in the Configuration Handbook.
f
Configuring Stratix II FPGAs with the MicroBlaster Driver
The MicroBlaster software driver supports an RBF programming input file and is ideal for embedded FPP or PS configuration. The source code is developed for the Windows NT operating system, although it can be customized to run on other operating systems.
f
For more information on the MicroBlaster software driver, refer to the Configuring the MicroBlaster Fast Passive Parallel Software Driver White Paper or the Configuring the MicroBlaster Passive Serial Software Driver White Paper on the Altera web site.
PLL Reconfiguration
The phase-locked loops (PLLs) in the Stratix II GX device family support reconfiguration of their multiply, divide, VCO-phase selection, and bandwidth selection settings without reconfiguring the entire device. You can use either serial data from the logic array or regular I/O pins to program the PLL's counter settings in a serial chain. This option provides
Altera Corporation October 2007
3-9 Stratix II GX Device Handbook, Volume 1
Temperature Sensing Diode (TSD)
considerable flexibility for frequency synthesis, allowing real-time variation of the PLL frequency and delay. The rest of the device is functional while reconfiguring the PLL.
f
See the PLLs in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook for more information on Stratix II GX PLLs. Stratix II GX devices include a diode-connected transistor for use as a temperature sensor in power management. This diode is used with an external digital thermometer device. These devices steer bias current through the Stratix II GX diode, measuring forward voltage and converting this reading to temperature in the form of an 8-bit signed number (7 bits plus 1 sign bit). The external device's output represents the junction temperature of the Stratix II GX device and can be used for intelligent power management. The diode requires two pins (tempdiodep and tempdioden) on the Stratix II GX device to connect to the external temperature-sensing device, as shown in Figure 3-1. The temperature sensing diode is a passive element and therefore can be used before the Stratix II GX device is powered. Figure 3-1. External Temperature-Sensing Diode
Stratix II GX Device Temperature-Sensing Device
Temperature Sensing Diode (TSD)
tempdiodep
tempdioden
3-10 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Configuration & Testing
Table 3-5 shows the specifications for bias voltage and current of the Stratix II GX temperature sensing diode.
Table 3-5. Temperature-Sensing Diode Electrical Characteristics Parameter
IBIAS high IBIAS low VBP - VBN VBN Series resistance
Minimum
80 8 0.3
Typical
100 10
Maximum
120 12 0.9
Unit
A A V V
0.7 3
The temperature-sensing diode works for the entire operating range shown in Figure 3-2. Figure 3-2. Temperature Versus Temperature-Sensing Diode Voltage
0.95 0.90 0.85 0.80 0.75 Voltage (Across Diode) 0.70 0.65 0.60 0.55 0.50 0.45 0.40 -55 -30 -5 20 45 70 95 120 100 A Bias Current 10 A Bias Current
Temperature (C)
Altera Corporation October 2007
3-11 Stratix II GX Device Handbook, Volume 1
Automated Single Event Upset (SEU) Detection
The temperature sensing diode is a very sensitive circuit which can be influenced by noise coupled from other traces on the board, and possibly within the device package itself, depending on device usage. The interfacing device registers temperature based on millivolts of difference as seen at the TSD. Switching I/O near the TSD pins can affect the temperature reading. Altera recommends you take temperature readings during periods of no activity in the device (for example, standby mode where no clocks are toggling in the device), such as when the nearby I/Os are at a DC state, and disable clock networks in the device.
Automated Single Event Upset (SEU) Detection
Stratix II GX devices offer on-chip circuitry for automated checking of single event upset (SEU) detection. Some applications that require the device to operate error free at high elevations or in close proximity to Earth's North or South Pole will require periodic checks to ensure continued data integrity. The error detection cyclic redundancy check (CRC) feature controlled by the Device & Pin Options dialog box in the Quartus II software uses a 32-bit CRC circuit to ensure data reliability and is one of the best options for mitigating SEU. You can implement the error detection CRC feature with existing circuitry in Stratix II GX devices, eliminating the need for external logic. Stratix II GX devices compute CRC during configuration and checks the computed-CRC against an automatically computed CRC during normal operation. The CRC_ERROR pin reports a soft error when configuration SRAM data is corrupted, triggering device reconfiguration.
Custom-Built Circuitry
Dedicated circuitry is built into Stratix II GX devices to automatically perform error detection. This circuitry constantly checks for errors in the configuration SRAM cells while the device is in user mode. You can monitor one external pin for the error and use it to trigger a reconfiguration cycle. You can select the desired time between checks by adjusting a built-in clock divider.
Software Interface
Beginning with version 4.1 of the Quartus II software, you can turn on the automated error detection CRC feature in the Device & Pin Options dialog box. This dialog box allows you to enable the feature and set the internal frequency of the CRC between 400 kHz to 50 MHz. This controls the rate that the CRC circuitry verifies the internal configuration SRAM bits in the Stratix II GX FPGA.
f
For more information on CRC, refer to AN 357: Error Detection Using CRC in Altera FPGA Devices.
3-12 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
Configuration & Testing
Referenced Documents
This chapter references the following documents:

AN 357: Error Detection Using CRC in Altera FPGA Devices AN 414: An Embedded Solution for PLD JTAG Configuration AN 418 SRunner: An Embedded Solution for Serial Configuration Device Programming Configuring Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook Configuring the MicroBlaster Fast Passive Parallel Software Driver White Paper Configuring the MicroBlaster Passive Serial Software Driver White Paper MorphIO: An I/O Reconfiguration Solution for Altera Devices White Paper PLLs in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook Remote System Upgrades with Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook Serial Configuration Devices (EPCS1, EPCS4, EPCS64, and EPCS128) Data Sheet in the Configuration Handbook Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook.
Document Revision History
Table 3-6 shows the revision history for this chapter.
Table 3-6. Document Revision History Date and Document Version
October 2007 v1.4 August 2007 v1.3 Minor text edits. Updated the note in the "IEEE Std. 1149.1 JTAG Boundary-Scan Support" Updated Table 3-3. Added the "Referenced Documents" section. May 2007 v1.2 February 2007 v1.1 October 2005 v1.0 Updated the "Temperature Sensing Diode (TSD)" section.
Changes Made
Summary of Changes
-- -- -- -- --
Added the "Document Revision History" section Added support information for the to this chapter. Stratix II GX device. Added chapter to the Stratix II GX Device Handbook. --
Altera Corporation October 2007
3-13 Stratix II GX Device Handbook, Volume 1
Document Revision History
3-14 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
4. DC and Switching Characteristics
SIIGX51006-4.5
Operating Conditions
Stratix(R) II GX devices are offered in both commercial and industrial grades. Industrial devices are offered in -4 speed grade and commercial devices are offered in -3 (fastest), -4, and -5 speed grades. Tables 4-1 through 4-51 provide information on absolute maximum ratings, recommended operating conditions, DC electrical characteristics, and other specifications for Stratix II GX devices.
Absolute Maximum Ratings
Table 4-1 contains the absolute maximum ratings for the Stratix II GX device family.
Table 4-1. Stratix II GX Device Absolute Maximum Ratings Symbol
VCCINT VCCIO VCCPD VI IOUT TSTG TJ
(1) (2) (3) (4)
Notes (1), (2),(3) Minimum
-0.5 -0.5 -0.5 -0.5 -25
Parameter
Supply voltage Supply voltage Supply voltage DC input voltage (4) DC output current, per pin Storage temperature Junction temperature No bias
Conditions
With respect to ground With respect to ground With respect to ground
Maximum
1.8 4.6 4.6 4.6 40 150 125
Unit
V V V V mA C C
-65 -55
BGA packages under bias
Notes to Table 4-1:
See the Operating Requirements for Altera Devices Data Sheet for more information. Conditions beyond those listed in Table 4-1 may cause permanent damage to a device. Additionally, device operation at the absolute maximum ratings for extended periods of time may have adverse affects on the device. Supply voltage specifications apply to voltage readings taken at the device pins, not at the power supply. During transitions, the inputs may overshoot to the voltage shown in Table 4-2 based upon the input duty cycle. The DC case is equivalent to 100% duty cycle. During transitions, the inputs may undershoot to -2.0 V for input currents less than 100 mA and periods shorter than 20 ns.
Altera Corporation October 2007
4-1
Operating Conditions
Table 4-2. Maximum Duty Cycles in Voltage Transitions Symbol
VI
Parameter
Maximum duty cycles in voltage transitions
Condition
VI = 4.0 V VI = 4.1 V VI = 4.2 V VI = 4.3 V VI = 4.4 V VI = 4.5 V
Maximum Duty Cycles (%) (1)
100 90 50 30 17 10
Note to Table 4-2:
(1) During transition, the inputs may overshoot to the voltages shown based on the input duty cycle. The duty cycle case is equivalent to 100% duty cycle.
Recommended Operating Conditions
Table 4-3 contains the Stratix II GX device family recommended operating conditions.
Table 4-3. Stratix II GX Device Recommended Operating Conditions (Part 1 of 2) Symbol
VCCINT VCCIO
Note (1) Maximum
1.25 3.465 (3.60) 2.625 1.89 1.575 1.25 3.465
Parameter
Supply voltage for internal logic and input buffers Supply voltage for output buffers, 3.3-V operation Supply voltage for output buffers, 2.5-V operation Supply voltage for output buffers, 1.8-V operation Supply voltage for output buffers, 1.5-V operation Supply voltage for output buffers, 1.2-V operation
Conditions
100 s rise time 100 ms (3) 100 s rise time 100 ms (3), (6) 100 s rise time 100 ms (3) 100 s rise time 100 ms (3) 100 s rise time 100 ms (3) 100 s rise time 100 ms (3)
Minimum
1.15 3.135 (3.00) 2.375 1.71 1.425 1.15 3.135
Unit
V V V V V V V
VCCPD
Supply voltage for pre-drivers as 100 s rise time 100 ms (4) well as configuration and JTAG I/O buffers. Input voltage (see Table 4-2) Output voltage (2), (5)
VI VO
-0.5 0
4.0 VCCIO
V V
4-2 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-3. Stratix II GX Device Recommended Operating Conditions (Part 2 of 2) Symbol
TJ
Note (1) Maximum
85 100
Parameter
Operating junction temperature
Conditions
For commercial use For industrial use
Minimum
0 -40
Unit
C C
Notes to Table 4-3:
(1) (2) Supply voltage specifications apply to voltage readings taken at the device pins, not at the power supply. During transitions, the inputs may overshoot to the voltage shown in Table 4-2 based upon the input duty cycle. The DC case is equivalent to 100% duty cycle. During transitions, the inputs may undershoot to -2.0 V for input currents less than 100 mA and periods shorter than 20 ns. Maximum VCC rise time is 100 ms, and VCC must rise monotonically from ground to VCC. VCCPD must ramp-up from 0 V to 3.3 V within 100 s to 100 ms. If VCCPD is not ramped up within this specified time, the Stratix II GX device will not configure successfully. If the system does not allow for a VCCPD ramp-up time of 100 ms or less, hold nCONFIG low until all power supplies are reliable. All pins, including dedicated inputs, clock, I/O, and JTAG pins, may be driven before VCCINT, VCCPD, and VCCIO are powered. VCCIO maximum and minimum conditions for PCI and PCI-X are shown in parentheses.
(3) (4)
(5) (6)
Transceiver Block Characteristics
Tables 4-4 through 4-6 contain transceiver block specifications.
Table 4-4. Stratix II GX Transceiver Block Absolute Maximum Ratings Symbol
VCCA VCCP VCCR VCCT VCCT_B VCCL VCCH_B Note to Tables 4-4:
(1)
Note (1) Minimum Maximum
-0.5 -0.5 -0.5 -0.5 -0.5 -0.5 -0.5 4.6 1.8 1.8 1.8 1.8 1.8 2.4
Parameter
Transceiver block supply voltage Transceiver block supply voltage Transceiver block supply Voltage Transceiver block supply voltage Transceiver block supply voltage Transceiver block supply voltage Transceiver block supply voltage
Conditions
Commercial and industrial Commercial and industrial Commercial and industrial Commercial and industrial Commercial and industrial Commercial and industrial Commercial and industrial
Units
V V V V V V V
The device can tolerate prolonged operation at this absolute maximum, as long as the maximum specification is not violated.
Altera Corporation October 2007
4-3 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-5. Stratix II GX Transceiver Block Operating Conditions Symbol
VCCA VCCP VCCR VCCT VCCT_B VCCL VCCH_B (2) RREF (1)
Parameter
Transceiver block supply voltage Transceiver block supply voltage Transceiver block supply voltage Transceiver block supply voltage Transceiver block supply voltage Transceiver block supply voltage Transceiver block supply voltage Reference resistor
Conditions
Commercial and industrial Commercial and industrial Commercial and industrial Commercial and industrial Commercial and industrial Commercial and industrial Commercial and industrial Commercial and industrial
Minimum
3.135 1.15 1.15 1.15 1.15 1.15 1.15 1.425 2K -1%
Typical
3.3 1.2 1.2 1.2 1.2 1.2 1.2 1.5 2K
Maximum
3.465 1.25 1.25 1.25 1.25 1.25 1.25 1.575 2K +1%
Units
V V V V V V V V
Notes to Table 4-5:
(1) (2) The DC signal on this pin must be as clean as possible. Ensure that no noise is coupled to this pin. Refer to the Stratix II GX Device Handbook, volume 2, for more information.
Table 4-6. Stratix II GX Transceiver Block AC Specification (Part 1 of 6) -3 Speed Commercial Speed Grade Min
Reference clock Input frequency from REFCLK input Input frequency from PLD input Input clock jitter Absolute VM A X for a REFCLK pin 50 622.08 50 622.08 50 622.08 MHz
Symbol / Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ Max
-5 Speed Commercial Speed Grade Min Typ Max
Unit
Typ
Max
50
-
325
50
-
325
50
-
325
MHz
Refer to Table 4-20 on page 4-36 for the input jitter specifications for the reference clock. 3.3 3.3 3.3 V
4-4 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-6. Stratix II GX Transceiver Block AC Specification (Part 2 of 6) -3 Speed Commercial Speed Grade Min
Absolute VM I N for a REFCLK pin Rise/fall time Duty cycle Peak-to-peak differential input voltage Spreadspectrum clocking On-chip termination resistors VI C M (AC coupled) VI C M (DC coupled) (4) Rref Transceiver Clocks Calibration block clock frequency Calibration block minimum power-down pulse width Time taken for one-time calibration 10 125 10 125 10 125 MHz 0.25 -0.3
Symbol / Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min
-0.3
-5 Speed Commercial Speed Grade Min
-0.3
Unit
Typ
-
Max
-
Typ
-
Max
-
Typ
-
Max
V
45 200
0.2 -
55 2000
45 200
0.2 -
55 2000
45 200
0.2 -
55 2000
UI % mV
30 0 to -0.5%
-
33 0 to -0.5%
30 0 to -0.5%
-
33 0 to -0.5%
30 0 to -0.5%
-
33 0 to -0.5%
kHz
115 20%
115 20%
115 20%
1200 5% 2000 1% 0.55 0.25
1200 5% 2000 1% 0.55 0.25
1200 5% 2000 1% 0.55
mV V
30
-
-
30
-
-
30
-
-
ns
-
-
8
-
-
8
-
-
8
ms
fixedclk
clock frequency
PCI Express Receiver Detect Adaptive Equalization (AEQ)
-
125
-
-
125
-
-
125
-
MHz
2.5
-
125
2.5
-
125
-
-
-
MHz
Altera Corporation October 2007
4-5 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-6. Stratix II GX Transceiver Block AC Specification (Part 3 of 6) -3 Speed Commercial Speed Grade Min
reconfig_c lk clock
frequency Transceiver block minimum power-down pulse width Receiver Data rate Absolute VM A X for a receiver pin (1) Absolute VM I N for a receiver pin Maximum peak-to-peak differential input voltage VI D (diff p-p) Minimum peak-to-peak differential input voltage VI D (diff p-p) VI C M VC M = 0.85 V 600 6375 2.0 600 5000 2.0 600 4250 2.0 Mbps V 100 100 100 ns 2.5
Symbol / Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min
2.5
-5 Speed Commercial Speed Grade Min
2.5
Unit
Typ
-
Max
50
Typ
-
Max
50
Typ
-
Max
50 MHz
-0.4
-
-
-0.4
-
-
-0.4
-
-
V
-
-
3.3
-
-
3.3
-
-
3.3
V
VC M = 0.85 V DC Gain = 3 dB
160
-
-
160
-
-
160
-
-
mV
VI C M = 0.85 V setting VI C M = 1.2 V setting (11)
85010% 120010% 10015% 12015% 15015% 20 35 45 -
85010% 120010% 10015% 12015% 15015% -
85010% 120010% 10015% 12015% 15015% -
mV mV MHz MHz MHz
On-chip termination resistors Bandwidth at 6.375 Gbps
100 setting 120 setting 150 setting BW = Low BW = Med BW = High
4-6 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-6. Stratix II GX Transceiver Block AC Specification (Part 4 of 6) -3 Speed Commercial Speed Grade Min
Bandwidth at 3.125 Gbps BW = Low BW = Med BW = High Bandwidth at 2.5 Gbps BW = Low BW = Med BW = High Return loss differential mode Return loss common mode -
Symbol / Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min
-
-5 Speed Commercial Speed Grade Min
-
Unit
Typ
30 40 50 35 50 60
Max
-
Typ
30 40 50 35 50 60
Max
-
Typ
30 40 50 35 50 60
Max
MHz MHz MHz MHz MHz MHz
100 MHz to 2.5 GHz (XAUI): -10 dB 50 MHz to 1.25 GHz (PCI-E): -10 dB 100 MHz to 4.875 GHz (OIF/CEI): -8dB 4.875 GHz to 10 GHz (OIF/CEI): 16.6 dB/decade slope 100 MHz to 2.5 GHz (XAUI): -6 dB 50 MHz to 1.25 GHz (PCI-E): -6 dB 100 MHz to 4.875 GHz (OIF/CEI): -6dB 4.875 GHz to 10 GHz (OIF/CEI): 16.6 dB/decade slope 62.5, 100, 125, 200, 250, 300, 500, 1000 80 65 16 175 65 62.5, 100, 125, 200, 250, 300, 500, 1000 80 16 175 65 62.5, 100, 125, 200, 250, 300, 500, 1000 80 16 175 ppm
Programmable PPM detector (2) Run length (3), (9) Programmable equalization Signal detect/loss threshold (4) CDR LTR TIme (5), (9) CDR Minimum T1b (6), (9) LTD lock time (7), (9) Data lock time from
UI dB mV
15 0 -
100 -
75 4000 4
15 0 -
100 -
75 4000 4
15 0 -
100 -
75 4000 4
us us ns us
rx_freqloc ked (8), (9)
Programmable DC gain Transmitter 0, 3, 6 0, 3, 6 0, 3, 6 dB
Altera Corporation October 2007
4-7 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-6. Stratix II GX Transceiver Block AC Specification (Part 5 of 6) -3 Speed Commercial Speed Grade Min
Data rate VO C M VO C M = 0.6 V setting VO C M = 0.7 V setting On-chip termination resistors Return loss differential mode 100 setting 120 setting 150 setting 600
Symbol / Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min
600
-5 Speed Commercial Speed Grade Min
600
Unit
Typ
58010% 68010% 10810% 12510% 15210%
Max
6375
Typ
58010% 68010% 10810% 12510% 15210%
Max
5000
Typ
58010% 68010% 10810% 12510% 15210%
Max
4250 Mbps mV mV
312 MHz to 625 MHz (XAUI): -10 dB 625 MHz to 3.125 GHz (XAUI): -10 dB/decade slope 50 MHz to 1.25 GHz (PCI-E): -10dB 100 MHz to 4.875 GHz (OIF/CEI): -8db 4.875 GHz to 10 GHz (OIF/CEI): 16.6 dB/decade slope 50 MHz to 1.25 GHz (PCI-E): -6dB 100 MHz to 4.875 GHz (OIF/CEI): -6db 4.875 GHz to 10 GHz (OIF/CEI): 16.6 dB/decade slope 35 35 VOD = 800 mV 65 65 15 35 35 65 65 15 35 35 65 65 15 ps ps ps
Return loss common mode Rise time Fall time Intra differential pair skew Intratransceiver block skew (x4) Intertransceiver block skew (x8) TXPLL (TXPLL0 and TXPLL1) VCO frequency range (low gear) VCO frequency range (high gear)
-
-
100
-
-
100
-
-
100
ps
-
-
300
-
-
300
-
-
300
ps
500
-
1562.5
500
-
1562.5
500
-
1562.5
MHz
1562.5
3187.5
1562.5
2500
1562. 5
-
2125
MHz
4-8 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-6. Stratix II GX Transceiver Block AC Specification (Part 6 of 6) -3 Speed Commercial Speed Grade Min
Bandwidth at 6.375 Gbps BW = Low BW = Med BW = High Bandwidth at 3.125 Gbps BW = Low BW = Med BW = High Bandwidth at 2.5 Gbps BW = Low BW = Med BW = High TX PLL lock time from -
Symbol / Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min
-
-5 Speed Commercial Speed Grade Min
-
Unit
Typ
2 3 7 3 5 9 1 2 4 -
Max
100
Typ
3 5 9 1 2 4 -
Max
-100
Typ
3 5 9 1 2 4 -
Max
100 MHz MHz MHz MHz MHz MHz MHz MHz MHz us
gxb_ powerdown
deassertion (9), (10) PLD-Transceiver Interface Interface speed Digital Reset Pulse Width Notes to Table 4-6:
(1) (2) (3) (4) (5) (6) The device cannot tolerate prolonged operation at this absolute maximum. Refer to Figure 4-5 for more information. The rate matcher supports only up to +/-300 ppm. This parameter is measured by embedding the run length data in a PRBS sequence. This feature is only available in PCI-Express (PIPE) mode. Time taken to rx_pll_locked goes high from rx_analogreset deassertion. Refer to Figure 4-1. This is how long GXB needs to stay in LTR mode after rx_pll_locked is asserted and before rx_locktodata is asserted in manual mode. Refer to Figure 4-1. (7) Time taken to recover valid data from GXB after rx_locktodata signal is asserted in manual mode. Measurement results are based on PRBS31, for native data rates only. Refer to Figure 4-1. (8) Time taken to recover valid data from GXB after rx_freqlocked signal goes high in automatic mode. Measurement results are based on PRBS31, for native data rates only. Refer to Figure 4-1. (9) Please refer to the Protocol Characterization documents for lock times specific to the protocols. (10) Time taken to lock TX PLL from gxb_powerdown deassertion. (11) The 1.2 V RX VICM setting is intended for DC-coupled LVDS links.
25
-
250
25
-
250
25
-
200
MHz
Minimum is 2 parallel clock cycles
Altera Corporation October 2007
4-9 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Figure 4-1 shows the lock time parameters in manual mode, Figure 4-2 shows the lock time parameters in automatic mode. 1 LTD = Lock to data LTR = Lock to reference clock
Figure 4-1. Lock Time Parameters for Manual Mode
r x_analogreset
CDR status
LTR
LTD
r x_pll_locked
r x_locktodata
Invalid Data r x_dataout
Valid data
CDR LTR Time
LTD lock time
CDR Minimum T1b
4-10 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Figure 4-2. Lock Time Parameters for Automatic Mode
CDR status
LTR
LTD
r x_freqlocked
r x_dataout
Invalid
data
Valid
data
Data lock time from rx_freqlocked
Figures 4-3 and 4-4 show differential receiver input and transmitter output waveforms, respectively. Figure 4-3. Receiver Input Waveform
Single-Ended Waveform Positive Channel (p) VID Negative Channel (n) VCM Ground
Differential Waveform
VID (diff peak-peak) = 2 x VID (single-ended) VID p-n=0V VID
Altera Corporation October 2007
4-11 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Figure 4-4. Transmitter Output Waveform
Single-Ended Waveform Positive Channel (p) VOD Negative Channel (n) VCM Ground
Differential Waveform
VOD (diff peak-peak) = 2 x VOD (single-ended) VOD p-n=0V VOD
Figure 4-5. Maximum Receiver Input Pin Voltage
Single-Ended Waveform Positive Channel (p) V(single-ended p-p)max = 3.3 V/2 Negative Channel (n) VCM = 0.85 V Ground VMAX = VCM + V(single-ended p-p)max = 0.85 + 0.825 = 1.675 V (1) 2
Note to Figure 4-5:
(1) The absolute VMAX that the receiver input pins can tolerate is 2 V.
Tables 4-7 through 4-12 show the typical VOD for data rates from 600 Mbps to 6.375 Gbps. The specification is for measurement at the package ball. Table 4-7. Typical VOD Setting, TX Term = 100 Note (1) VccHTX = 1.5 V 200
VOD Typical (mV) Note to Table 4-7:
(1) Applicable to data rates from 600 Mbps to 6.375 Gbps. Specification is for measurement at the package ball.
VOD Setting (mV) 400
430
600
625
800
830
1000
1020
1200
1200
1400
1350
220
4-12 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-8. Typical VOD Setting, TX Term = 120 Note (1) VccHTX = 1.5 V 240
VOD Typical (mV) Note to Table 4-8:
(1) Applicable to data rates from 600 Mbps to 6.375 Gbps. Specification is for measurement at the package ball.
VOD Setting (mV) 480
510
720
750
960
975
1200
1200
260
Table 4-9. Typical VOD Setting, TX Term = 150 Note (1) VccHTX = 1.5 V 300
VOD Typical (mV) Note to Table 4-9:
(1) Applicable to data rates from 600 Mbps to 6.375 Gbps. Specification is for measurement at the package ball.
VOD Setting (mV) 600
625
900
920
1200
1200
325
Table 4-10. Typical VOD Setting, TX Term = 100 Note (1) VccHTX = 1.2 V 320
VOD Typical (mV) Note to Table 4-10:
(1) Applicable to data rates from 600 Mbps to 3.125 Gbps. Specification is for measurement at the package ball.
VOD Setting (mV) 480
500
640
664
800
816
960
960
344
Altera Corporation October 2007
4-13 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-11. Typical VOD Setting, TX Term = 120 Note (1) VccHTX = 1.2 V 192
VOD Typical (mV) Note to Table 4-11:
(1) Applicable to data rates from 600 Mbps to 3.125 Gbps. Specification is for measurement at the package ball.
VOD Setting (mV) 384
410
576
600
768
780
960
960
210
Table 4-12. Typical VOD Setting, TX Term = 150 Note (1) VccHTX = 1.2 V 240
VOD Typical (mV) Note to Table 4-12:
(1) Applicable to data rates from 600 Mbps to 3.125 Gbps. Specification is for measurement at the package ball.
VOD Setting (mV) 480
500
720
730
960
960
260
Tables 4-13 through 4-18 show the typical first post-tap pre-emphasis.
Table 4-13. Typical Pre-Emphasis (First Post-Tap), Note (1) VccHTX = 1.5 V VOD Setting (mV) 1 2 3 4 First Post Tap Pre-Emphasis Level
5
6
7
8
9
10
11
12
TX Term = 100 400 600 800 1000 1200 24% 62% 31% 20% 112% 56% 35% 23% 17% 184% 86% 53% 36% 25% 122% 73% 49% 35% 168% 96% 64% 45% 230% 123% 79% 56% 329% 156% 97% 68% 457% 196% 118% 82% 237% 141% 95% 312% 165% 110% 387% 200% 125%
4-14 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-13. Typical Pre-Emphasis (First Post-Tap), Note (1) VccHTX = 1.5 V VOD Setting (mV)
1400 Note to Table 4-13:
(1) Applicable to data rates from 600 Mbps to 6.375 Gbps. Specification is for measurement at the package ball.
First Post Tap Pre-Emphasis Level
1
2
3
4
20%
5
26%
6
33%
7
41%
8
51%
9
58%
10
67%
11
77%
12
86%
Table 4-14. Typical Pre-Emphasis (First Post-Tap), Note (1) VccHTX = 1.5 V VOD Setting (mV) 1 2 3 4 First Post Tap Pre-Emphasis Level
5
6
7
8
9
10
11
12
TX Term = 120 240 480 720 960 1200 Note to Table 4-14:
(1) Applicable to data rates from 600 Mbps to 6.375 Gbps. Specification is for measurement at the package ball.
45% 41% 23% 15% 76% 38% 24% 18% 114% 55% 36% 22% 166% 84% 47% 30% 257% 108% 64% 41% 355% 137% 80% 51% 179% 97% 63% 226% 122% 77% 280% 140% 86% 405% 170% 98% 477% 196% 116%
Table 4-15. Typical Pre-Emphasis (First Post-Tap), Note (1) VccHTX = 1.5 V VOD Setting (mV) 1 2 3 4 First Post Tap Pre-Emphasis Level
5
6
7
8
9
10
11
12
TX Term = 150 300 32% 85%
Altera Corporation October 2007
4-15 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-15. Typical Pre-Emphasis (First Post-Tap), Note (1) VccHTX = 1.5 V VOD Setting (mV)
600 900 1200 Note to Table 4-15:
(1) Applicable to data rates from 600 Mbps to 6.375 Gbps. Specification is for measurement at the package ball.
First Post Tap Pre-Emphasis Level
1
2
33% 19%
3
53% 28% 17%
4
80% 38% 22%
5
115% 56% 31%
6
157% 70% 40%
7
195% 86% 52%
8
294% 113% 62%
9
386% 133% 75%
10
11
12
168% 86%
196% 96%
242% 112%
Table 4-16. Typical Pre-Emphasis (First Post-Tap), Note (1) VccHTX = 1.2 V VOD Setting (mV) 1 2 3 4 First Post Tap Pre-Emphasis Level
5
6
7
8
9
10
11
12
TX Term = 100 320 480 640 800 960 Note to Table 4-16:
(1) Applicable to data rates from 600 Mbps to 3.125 Gbps. Specification is for measurement at the package ball.
24%
61% 31% 20%
114% 55% 35% 23% 18% 86% 54% 36% 25% 121% 72% 49% 35% 170% 95% 64% 44% 232% 124% 81% 57% 333% 157% 97% 69% 195% 117% 82% 233% 140% 94% 307% 161% 108% 373% 195% 127%
4-16 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-17. Typical Pre-Emphasis (First Post-Tap), Note (1) VccHTX = 1.2 V VOD Setting (mV) 1 2 3 4 First Post Tap Pre-Emphasis Level
5
6
7
8
9
10
11
12
TX Term = 120 192 384 576 768 960 Note to Table 4-17:
(1) Applicable to data rates from 600 Mbps to 3.125 Gbps. Specification is for measurement at the package ball.
45% 41% 23% 15% 76% 38% 24% 18% 114% 55% 36% 22% 166% 84% 47% 30% 257% 108% 64% 41% 355% 137% 80% 51% 179% 97% 63% 226% 122% 77% 280% 140% 86% 405% 170% 98% 477% 196% 116%
Table 4-18. Typical Pre-Emphasis (First Post-Tap), Note (1) VccHTX = 1.2 V VOD Setting (mV) 1 2 3 4 First Post Tap Pre-Emphasis Level
5
6
7
8
9
10
11
12
TX Term = 150 240 480 720 960 Note to Table 4-18:
(1) Applicable to data rates from 600 Mbps to 3.125 Gbps. Specification is for measurement at the package ball.
31%
85% 32% 19% 52% 28% 17% 78% 37% 22% 112% 56% 30% 152% 68% 39% 195% 86% 51% 275% 108% 59% 133% 75% 169% 85% 194% 94% 239% 109%
Altera Corporation October 2007
4-17 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-19 shows the Stratix II GX transceiver block AC specifications.
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 1 of 19) -3 Speed Commercial Speed Grade Min
SONET/SDH Transmit Jitter Generation (7) Peak-to-peak jitter REFCLK = at 622.08 Mbps 77.76 MHz Pattern = PRBS23 VOD = 800 mV No Pre-emphasis RMS jitter at 622.08 Mbps 0.1 0.1 0.1 UI
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ Max
-5 Speed Commercial Speed Grade Min Typ Max
Unit
Typ
Max
REFCLK = 77.76 MHz Pattern = PRBS23 VOD = 800 mV No Pre-emphasis
-
-
0.01
-
-
0.01
-
-
0.01
UI
Peak-to-peak jitter REFCLK = at 2488.32 Mbps 155.52 MHz Pattern = PRBS23 VOD = 800 mV No Pre-emphasis RMS jitter at 2488.32 Mbps
-
-
0.1
-
-
0.1
-
-
0.1
UI
REFCLK = 155.52 MHz Pattern = PRBS23 VOD = 800 mV No Pre-emphasis
-
-
0.01
-
-
0.01
-
-
0.01
UI
4-18 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 2 of 19) -3 Speed Commercial Speed Grade Min
SONET/SDH Receiver Jitter Tolerance (7) Jitter frequency = 0.03 KHz Pattern = PRBS23 No Equalization DC Gain = 0 dB Jitter frequency = 25 KHZ Pattern = PRBS23 No Equalization DC Gain = 0 dB Jitter frequency = 250 KHz Pattern = PRBS23 No Equalization DC Gain = 0 dB Jitter frequency = 0.06 KHz Pattern = PRBS23 No Equalization DC Gain = 0 dB Jitter frequency = 100 KHZ Pattern = PRBS23 No Equalization DC Gain = 0 dB Jitter tolerance at 2488.32 MBps Jitter frequency = 1 MHz Pattern = PRBS23 No Equalization DC Gain = 0 dB Jitter frequency = 10 MHz Pattern = PRBS23 No Equalization DC Gain = 0 dB > 15 > 15 > 15 UI
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ Max
-5 Speed Commercial Speed Grade Min Typ Max
Unit
Typ
Max
> 1.5
> 1.5
> 1.5
UI
Jitter tolerance at 622.08 Mbps
> 0.15
> 0.15
> 0.15
UI
> 15
> 15
> 15
UI
> 1.5
> 1.5
> 1.5
UI
> 0.15
> 0.15
> 0.15
UI
> 0.15
> 0.15
> 0.15
UI
Altera Corporation October 2007
4-19 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 3 of 19) -3 Speed Commercial Speed Grade Min
Total jitter FC-1
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min
-
-5 Speed Commercial Speed Grade Min
-
Unit
Typ
-
Max
0.23
Typ
-
Max
0.23
Typ
-
Max
0.23 UI
Fibre Channel Transmit Jitter Generation (8), (17)
REFCLK = 106.25 MHz Pattern = CRPAT VOD = 800 mV No Pre-emphasis REFCLK = 106.25 MHz Pattern = CRPAT VOD = 800 mV No Pre-emphasis REFCLK = 106.25 MHz Pattern = CRPAT VOD = 800 mV No Pre-emphasis REFCLK = 106.25 MHz Pattern = CRPAT VOD = 800 mV No Pre-emphasis REFCLK = 106.25 MHz Pattern = CRPAT VOD = 800 mV No Pre-emphasis REFCLK = 106.25 MHz Pattern = CRPAT VOD = 800 mV No Pre-emphasis
Pattern = CJTPAT No Equalization DC Gain = 0 dB Pattern = CJTPAT No Equalization DC Gain = 0 dB
-
Deterministic jitter FC-1
-
-
0.11
-
-
0.11
-
-
0.11
UI
Total jitter FC-2
-
-
0.33
-
-
0.33
-
-
0.33
UI
Deterministic jitter FC-2
-
-
0.2
-
-
0.2
-
-
0.2
UI
Total jitter FC-4
-
-
0.52
-
-
0.52
-
-
0.52
UI
Deterministic jitter FC-4
-
-
0.33
-
-
0.33
-
-
0.33
UI
Fibre Channel Receiver Jitter Tolerance (8), (18) Deterministic jitter FC-1 Random jitter FC1 > 0.37 > 0.37 > 0.37 UI
> 0.31
> 0.31
> 0.31
UI
4-20 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 4 of 19) -3 Speed Commercial Speed Grade Min
Sinusoidal jitter FC-1 Deterministic jitter FC-2 Random jitter FC2 Sinusoidal jitter FC-2 Deterministic jitter FC-4 Random jitter FC4 Sinusoidal jitter FC-4 Fc/25000 Fc/1667 Pattern = CJTPAT No Equalization DC Gain = 0 dB Pattern = CJTPAT No Equalization DC Gain = 0 dB Fc/25000 Fc/1667 Pattern = CJTPAT No Equalization DC Gain = 0 dB Pattern = CJTPAT No Equalization DC Gain = 0 dB Fc/25000 Fc/1667
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ
> 1.5 > 0.1 > 0.33
-5 Speed Commercial Speed Grade Min Typ
> 1.5 > 0.1 > 0.33
Unit
Typ
> 1.5 > 0.1 > 0.33
Max
Max
Max
UI UI UI
> 0.29
> 0.29
> 0.29
UI
> 1.5 > 0.1 > 0.33
> 1.5 > 0.1 > 0.33
> 1.5 > 0.1 > 0.33
UI UI UI
> 0.29
> 0.29
> 0.29
UI
> 1.5 > 0.1
> 1.5 > 0.1
> 1.5 > 0.1
UI UI
XAUI Transmit Jitter Generation (9) Total jitter at 3.125 Gbps
REFCLK = 156.25 MHz Pattern = CJPAT VOD = 1200 mV No Pre-emphasis REFCLK = 156.25 MHz Pattern = CJPAT VOD = 1200 mV No Pre-emphasis
-
-
0.3
-
-
0.3
-
-
0.3
UI
Deterministic jitter at 3.125 Gbps
-
-
0.17
-
-
0.17
-
-
0.17
UI
XAUI Receiver Jitter Tolerance (9) Total jitter Deterministic jitter Peak-to-peak jitter Jitter frequency = 22.1 KHz Peak-to-peak jitter Jitter frequency = 1.875 MHz > 0.65 > 0.37 > 8.5 > 0.1 > 0.65 > 0.37 > 8.5 > 0.1 > 0.65 > 0.37 > 8.5 > 0.1 UI UI UI UI
Altera Corporation October 2007
4-21 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 5 of 19) -3 Speed Commercial Speed Grade Min
Peak-to-peak jitter Jitter frequency = 20 MHz PCI Express Transmit Jitter Generation (10) Total jitter at 2.5 Gbps Compliance pattern VOD = 800 mV Pre-emphasis (1st post-tap) = Setting 5 0.25 0.25 0.25 UI
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ
> 0.1
-5 Speed Commercial Speed Grade Min Typ
> 0.1
Unit
Typ
> 0.1
Max
Max
Max
UI
PCI Express Receiver Jitter Tolerance (10) Total jitter at 2.5 Gbps Compliance pattern No Equalization DC gain = 3 dB > 0.6 > 0.6 > 0.6 UI
Serial RapidIO Transmit Jitter Generation (11) Deterministic Jitter Data Rate = 1.25, (peak-to-peak) 2.5, 3.125 Gbps REFCLK = 125 MHz Pattern = CJPAT VOD = 800 mV No Pre-emphasis Total Jitter (peak-to-peak) Data Rate = 1.25, 2.5, 3.125 Gbps REFCLK = 125 MHz Pattern = CJPAT VOD = 800 mV No Pre-emphasis 0.17 0.17 0.17 UI
-
-
0.35
-
-
0.35
-
-
0.35
UI
Serial RapidIO Receiver Jitter Tolerance (11) Deterministic Jitter Data Rate = 1.25, 2.5, 3.125 Gbps Tolerance (peak-to-peak) REFCLK = 125 MHz Pattern = CJPAT Equalizer Setting = 0 for 1.25 Gbps Equalizer Setting = 6 for 2.5 Gbps Equalizer Setting = 6 for 3.125 Gbps > 0.37 > 0.37 > 0.37 UI
4-22 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 6 of 19) -3 Speed Commercial Speed Grade Min
Data Rate = 1.25, 2.5, 3.125 Gbps REFCLK = 125 MHz Pattern = CJPAT Equalizer Setting = 0 for 1.25 Gbps Equalizer Setting = 6 for 2.5 Gbps Equalizer Setting = 6 for 3.125 Gbps
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ
> 0.55
-5 Speed Commercial Speed Grade Min Typ
> 0.55
Unit
Typ
> 0.55
Max
Max
Max
UI
Combined Deterministic and Random Jitter Tolerance (peak-to-peak)
Altera Corporation October 2007
4-23 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 7 of 19) -3 Speed Commercial Speed Grade Min
Jitter Frequency = 22.1 KHz Data Rate = 1.25, 2.5, 3.125 Gbps REFCLK = 125 MHz Pattern = CJPAT Equalizer Setting = 0 for 1.25 Gbps Equalizer Setting = 6 for 2.5 Gbps Equalizer Setting = 6 for 3.125 Gbps Jitter Frequency = 1.875 MHz Data Rate = 1.25, 2.5, 3.125 Gbps REFCLK = 125 MHz Pattern = CJPAT Equalizer Setting = 0 for 1.25 Gbps Equalizer Setting = 6 for 2.5 Gbps Equalizer Setting = 6 for 3.125 Gbps Jitter Frequency = 20 MHz Data Rate = 1.25, 2.5, 3.125 Gbps REFCLK = 125 MHz Pattern = CJPAT Equalizer Setting = 0 for 1.25 Gbps Equalizer Setting = 6 for 2.5 Gbps Equalizer Setting = 6 for 3.125 Gbps
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ
> 8.5
-5 Speed Commercial Speed Grade Min Typ
> 8.5
Unit
Typ
> 8.5
Max
Max
Max
UI
> 0.1
> 0.1
> 0.1
UI
Sinusoidal Jitter Tolerance (peak-to-peak)
> 0.1
> 0.1
> 0.1
UI
4-24 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 8 of 19) -3 Speed Commercial Speed Grade Min
GIGE Transmit Jitter Generation (12) Deterministic Jitter Data Rate = (peak-to-peak) 1.25 Gbps REFCLK = 125 MHz Pattern = CRPAT VOD = 1400 mV No Pre-emphasis Total Jitter (peak-to-peak) Data Rate = 1.25 Gbps REFCLK = 125 MHz Pattern = CRPAT VOD = 1400 mV No Pre-emphasis 0.14 0.14 0.14 UI
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ Max
-5 Speed Commercial Speed Grade Min Typ Max
Unit
Typ
Max
-
-
0.279
-
-
0.279
-
-
0.279
UI
GIGE Receiver Jitter Tolerance (12) Deterministic Jitter Data Rate = 1.25 Gbps Tolerance (peak-to-peak) REFCLK = 125 MHz Pattern = CJPAT No Equalization Combined Deterministic and Random Jitter Tolerance (peak-to-peak) Data Rate = 1.25 Gbps REFCLK = 125 MHz Pattern = CJPAT No Equalization > 0.4 > 0.4 > 0.4 UI
> 0.66
> 0.66
> 0.66
UI
HiGig Transmit Jitter Generation (4), (13) Deterministic Jitter Data Rate = (peak-to-peak) 3.75 Gbps REFCLK = 187.5 MHz Pattern = CJPAT VOD = 1200 mV No Pre-emphasis Total Jitter (peak-to-peak) Data Rate = 3.75 Gbps REFCLK = 187.5 MHz Pattern = CJPAT VOD = 1200 mV No Pre-emphasis 0.17 UI
-
-
0.35
-
UI
Altera Corporation October 2007
4-25 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 9 of 19) -3 Speed Commercial Speed Grade Min
HiGig Receiver Jitter Tolerance (13) Deterministic Jitter Data Rate = 3.75 Gbps Tolerance (peak-to-peak) REFCLK = 187.5 MHz Pattern = CJPAT No Equalization DC Gain = 3 dB Data Rate = 3.75 Gbps REFCLK = 187.5 MHz Pattern = CJPAT No Equalization DC Gain = 3 dB Jitter Frequency = 22.1 KHz Data Rate = 3.75 Gbps REFCLK = 187.5 MHz Pattern = CJPAT No Equalization DC Gain = 3 dB > 0.37 UI
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ Max
-5 Speed Commercial Speed Grade Min Typ Max
Unit
Typ
Max
> 0.65
-
-
UI
Combined Deterministic and Random Jitter Tolerance (peak-to-peak)
> 8.5
-
-
UI
4-26 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 10 of 19) -3 Speed Commercial Speed Grade Min
Jitter Frequency = 1.875 MHz Data Rate = 3.75 Gbps REFCLK = 187.5 MHz Pattern = CJPAT No Equalization DC Gain = 3 dB Jitter Frequency = 20 MHz Data Rate = 3.75 Gbps REFCLK = 187.5 MHz Pattern = CJPAT No Equalization DC Gain = 3 dB
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ
-
-5 Speed Commercial Speed Grade Min Typ
-
Unit
Typ
> 0.1
Max
Max
Max
UI
Sinusoidal Jitter Tolerance (peak-to-peak)
> 0.1
-
-
UI
(OIF) CEI Transmitter Jitter Generation (14) Total Jitter (peak-to-peak) Data Rate = 6.375 Gbps REFCLK = 318.75 MHz Pattern = PRBS15 Vod=1000 mV (5) No Pre-emphasis BER = 10-12 0.3 N/A N/A UI
(OIF) CEI Receiver Jitter Tolerance (14) Deterministic Jitter Data Rate = 6.375 Gbps Tolerance Pattern = PRBS31 (peak-to-peak) Equalizer Setting = 15 DC Gain = 0 dB BER = 10-12 > 0.675 N/A N/A UI
Altera Corporation October 2007
4-27 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 11 of 19) -3 Speed Commercial Speed Grade Min
Combined Deterministic and Random Jitter Tolerance (peak-to-peak) Data Rate = 6.375 Gbps Pattern = PRBS31 Equalizer Setting = 15 DC Gain = 0 dB BER = 10-12 Jitter Frequency = 38.2 KHz Data Rate = 6.375 Gbps Pattern = PRBS31 Equalizer Setting = 15 DC Gain = 0 dB BER = 10-12 Jitter Frequency = 3.82 MHz Data Rate = 6.375 Gbps Pattern = PRBS31 Equalizer Setting = 15 DC Gain = 0 dB BER = 10-12 Jitter Frequency = 20 MHz Data Rate = 6.375 Gbps Pattern = PRBS31 Equalizer Setting = 15 DC Gain = 0 dB BER = 10-12
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ
N/A
-5 Speed Commercial Speed Grade Min Typ
N/A
Unit
Typ
> 0.988
Max
Max
Max
UI
>5
N/A
N/A
UI
> 0.05
N/A
N/A
UI
Sinusoidal Jitter Tolerance (peak-to-peak)
> 0.05
N/A
N/A
UI
4-28 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 12 of 19) -3 Speed Commercial Speed Grade Min
CPRI Transmitter Jitter Generation (15) Deterministic Jitter Data Rate = (peak-to-peak) 614.4 Mbps, 1.2288 Gbps, 2.4576 Gbps REFCLK = 61.44 MHz for 614.4 Mbps and 1.2288 Gbps REFCLK = 122.88 MHz for 2.4576 Gbps Pattern = CJPAT Vod = 1400 mV No Pre-emphasis Total Jitter (peak-to-peak) Data Rate = 614.4 Mbps, 1.2288 Gbps, 2.4576 Gbps REFCLK = 61.44 MHz for 614.4 Mbps and 1.2288 Gbps REFCLK = 122.88 MHz for 2.4576 Gbps Pattern = CJPAT Vod = 1400 mV No Pre-emphasis 0.14 0.14 N/A UI
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ Max
-5 Speed Commercial Speed Grade Min Typ Max
Unit
Typ
Max
0.279
0.279
N/A
UI
Altera Corporation October 2007
4-29 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 13 of 19) -3 Speed Commercial Speed Grade Min
CPRI Receiver Jitter Tolerance (15) Deterministic Jitter Data Rate = 614.4 Mbps, Tolerance 1.2288 Gbps, (peak-to-peak) 2.4576 Gbps REFCLK = 61.44 MHz for 614.4 Mbps REFCLK = 122.88 MHz for 1.2288 Gbps and 2.4576 Gbps Pattern = CJPAT Equalizer Setting = 6 DC Gain = 0 dB Combined Deterministic and Random Jitter Tolerance (peak-to-peak) Data Rate = 614.4 Mbps, 1.2288 Gbps, 2.4576 Gbps REFCLK = 61.44 MHz for 614.4 Mbps REFCLK = 122.88 MHz for 1.2288 Gbps and 2.4576 Gbps Pattern = CJPAT Equalizer Setting = 6 DC Gain = 0 dB > 0.4 > 0.4 N/A UI
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ Max
-5 Speed Commercial Speed Grade Min Typ Max
Unit
Typ
Max
> 0.66
> 0.66
N/A
UI
4-30 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 14 of 19) -3 Speed Commercial Speed Grade Min
Jitter Frequency = 22.1 KHz Data Rate = 614.4 Mbps, 1.2288 Gbps, 2.4576 Gbps REFCLK = 61.44 MHz for 614.4 Mbps REFCLK = 122.88 MHz for 1.2288 Gbps and 2.4576 Gbps Pattern = CJPAT Equalizer Setting = 6 DC Gain = 0 dB Jitter Frequency = 1.875 MHz Data Rate = 614.4 Mbps, 1.2288 Gbps, 2.4576 Gbps REFCLK = 61.44 MHz for 614.4 Mbps REFCLK = 122.88 MHz for 1.2288 Gbps and 2.4576 Gbps Pattern = CJPAT Equalizer Setting = 6 DC Gain = 0 dB
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ
> 8.5
-5 Speed Commercial Speed Grade Min Typ
N/A
Unit
Typ
> 8.5
Max
Max
Max
UI
Sinusoidal Jitter Tolerance (peak-to-peak) (6)
> 0.1
> 0.1
N/A
UI
Altera Corporation October 2007
4-31 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 15 of 19) -3 Speed Commercial Speed Grade Min
Jitter Frequency = 20 MHz Data Rate = 614.4 Mbps, 1.2288 Gbps, 2.4576 Gbps REFCLK = 61.44 MHz for 614.4 Mbps REFCLK = 122.88 MHz for 1.2288 Gbps and 2.4576 Gbps Pattern = CJPAT Equalizer Setting = 6 DC Gain = 0 dB
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ
> 0.1
-5 Speed Commercial Speed Grade Min Typ
N/A
Unit
Typ
> 0.1
Max
Max
Max
UI
Sinusoidal Jitter Tolerance (peak-to-peak) (6) (cont.)
4-32 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 16 of 19) -3 Speed Commercial Speed Grade Min
SDI Transmitter Jitter Generation (16) Data Rate = 1.485 Gbps (HD) REFCLK = 74.25 MHz Pattern = ColorBar Vod = 800 mV No Pre-emphasis Low-Frequency Roll-Off = 100 KHz Data Rate = 2.97 Gbps (3G) REFCLK = 148.5 MHz Pattern = ColorBar Vod = 800 mV No Pre-emphasis Low-Frequency Roll-Off = 100 KHz Alignment Jitter (peak-to-peak) 0.2 0.2 0.2 UI
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ Max
-5 Speed Commercial Speed Grade Min Typ Max
Unit
Typ
Max
0.3
0.3
0.3
UI
Altera Corporation October 2007
4-33 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 17 of 19) -3 Speed Commercial Speed Grade Min
SDI Receiver Jitter Tolerance (16) Jitter Frequency = 15 KHz Data Rate = 2.97 Gbps (3G) REFCLK = 148.5 MHz Pattern = Single Line Scramble Color Bar No Equalization DC Gain = 0 dB Jitter Frequency = 100 KHz Data Rate = 2.97 Gbps (3G) REFCLK = 148.5 MHz Pattern = Single Line Scramble Color Bar No Equalization DC Gain = 0 dB Jitter Frequency = 148.5 MHz Data Rate = 2.97 Gbps (3G) REFCLK = 148.5 MHz Pattern = Single Line Scramble Color Bar No Equalization DC Gain = 0 dB >2 >2 >2 UI
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ Max
-5 Speed Commercial Speed Grade Min Typ Max
Unit
Typ
Max
> 0.3
> 0.3
> 0.3
UI
Sinusoidal Jitter Tolerance (peak-to-peak)
> 0.3
> 0.3
> 0.3
UI
4-34 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 18 of 19) -3 Speed Commercial Speed Grade Min
Jitter Frequency = 20 KHz Data Rate = 1.485 Gbps (HD) REFCLK = 74.25 MHz Pattern = 75% Color Bar No Equalization DC Gain = 0 dB Jitter Frequency = 100 KHz Data Rate = 1.485 Gbps (HD) REFCLK = 74.25 MHz Pattern = 75% Color Bar No Equalization DC Gain = 0 dB Jitter Frequency = 148.5 MHz Data Rate = 1.485 Gbps (HD) REFCLK = 74.25 MHz Pattern = 75% Color Bar No Equalization DC Gain = 0 dB
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ
>1
-5 Speed Commercial Speed Grade Min Typ
>1
Unit
Typ
>1
Max
Max
Max
UI
> 0.2
> 0.2
> 0.2
UI
Sinusoidal Jitter Tolerance (peak-to-peak)
> 0.2
> 0.2
> 0.2
UI
Altera Corporation October 2007
4-35 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-19. Stratix II GX Transceiver Block AC Specification Notes (1), (2), (3) (Part 19 of 19) -3 Speed Commercial Speed Grade Min
Notes to Table 4-19:
(1) (2) (3) (4) (5) (6) (7) (8) (9) (10) (11) (12) (13) (14) (15) (16) (17) (18) Dedicated REFCLK pins were used to drive the input reference clocks. Jitter numbers specified are valid for the stated conditions only. Refer to the protocol characterization documents for detailed information. HiGig configuration is available in a -3 speed grade only. For more information, refer to the Stratix II GX Transceiver Architecture Overview chapter in volume 2 of the Stratix II GX Device Handbook. Stratix II GX transceivers meet CEI jitter generation specification of 0.3 UI for a VOD range of 400 mV to 1000 mV. The Sinusoidal Jitter Tolerance Mask is defined only for low voltage (LV) variant of CPRI. The jitter numbers for SONET/SDH are compliant to the GR-253-CORE Issue 3 Specification. The jitter numbers for Fibre Channel are compliant to the FC-PI-4 Specification revision 6.10. The jitter numbers for XAUI are compliant to the IEEE802.3ae-2002 Specification. The jitter numbers for PCI Express are compliant to the PCIe Base Specification 2.0. The jitter numbers for Serial RapidIO are compliant to the RapidIO Specification 1.3. The jitter numbers for GIGE are compliant to the IEEE802.3-2002 Specification. The jitter numbers for HiGig are compliant to the IEEE802.3ae-2002 Specification. The jitter numbers for (OIF) CEI are compliant to the OIF-CEI-02.0 Specification. The jitter numbers for CPRI are compliant to the CPRI Specification V2.1. The HD-SDI and 3G-SDI jitter numbers are compliant to the SMPTE292M and SMPTE424M Specifications. The Fibre Channel transmitter jitter generation numbers are compliant to the specification at T interoperability point. The Fibre Channel receiver jitter tolerance numbers are compliant to the specification at R interoperability point.
Symbol/ Description
Conditions
-4 Speed Commercial and Industrial Speed Grade Min Typ Max
-5 Speed Commercial Speed Grade Min Typ Max
Unit
Typ
Max
Table 4-20 provides information on recommended input clock jitter for each mode.
Table 4-20. Recommended Input Clock Jitter (Part 1 of 2) Mode
PCI-E (OIF) CEI PHY GIGE
Reference Clock (MHz)
100 156.25 622.08 62.5 125
Vectron LVPECL XO Type/Model
VCC6-Q/R VCC6-Q/R VCC6-Q VCC6-Q/R VCC6-Q/R VCC6-Q/R
Frequency Range (MHz)
10 to 270 10 to 270 270 to 800 10 to 270 10 to 270 10 to 270
Jitter (12 kHz to 20 MHz) (ps)
0.3 0.3 2 0.3 0.3 0.3
Period Jitter (Peak to Peak) (ps)
23 23 30 23 23 23
Phase Noise at 1 MHz (dB c/Hz)
-149.9957 -146.2169 Not available -149.9957 -146.9957 -146.2169
XAUI
156.25
4-36 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-20. Recommended Input Clock Jitter (Part 2 of 2) Mode Reference Clock (MHz)
77.76 SONET/SDH OC-48 155.52 311.04 622.08 62.2 311 SONET/SDH OC-12 77.76 155.52 622.08
Vectron LVPECL XO Type/Model
VCC6-Q/R VCC6-Q/R VCC6-Q VCC6-Q VCC6-Q/R VCC6-Q VCC6-Q/R VCC6-Q/R VCC6-Q
Frequency Range (MHz)
10 to 270 10 to 270 270 to 800 270 to 800 10 to 270 270 to 800 10 to 270 10 to 270 270 to 800
Jitter (12 kHz to 20 MHz) (ps)
0.3 0.3 2 2 0.3 2 0.3 0.3 2
Period Jitter (Peak to Peak) (ps)
23 23 30 30 23 30 23 23 30
Phase Noise at 1 MHz (dB c/Hz)
-149.5476 -149.1903 Not available Not available -149.6289 Not available -149.5476 -149.1903 Not available
Tables 4-21 and 4-22 show the transmitter and receiver PCS latency for each mode, respectively.
Table 4-21. PCS Latency (Part 1 of 2) Note (1) Transmitter PCS Latency Functional Mode Configuration TX PIPE TX Phase Comp FIFO
2-3 3-4
Byte TX State Serializer Machine
1 1 0.5 -
8B/10B Encoder
0.5 1
Sum (2)
XAUI x1, x4, x8 8-bit channel width x1, x4, x8 16-bit channel width
1
4-5 6-7
PIPE
1
3-4
1
-
0.5
6-7
GIGE OC-12 SONET/SDH OC-48 OC-96 (OIF) CEI PHY CPRI (3) 614 Mbps, 1.228 Gbps 2.456 Gbps
-
2-3 2-3 2-3 2-3 2-3 2 2-3
1 1 1 1 1 1 1
-
1 1 0.5 0.5 0.5 1 1
4-5 4-5 4-5 4-5 4-5 4 4-5
Altera Corporation October 2007
4-37 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-21. PCS Latency (Part 2 of 2) Note (1) Transmitter PCS Latency Functional Mode Configuration TX PIPE TX Phase Comp FIFO
2-3
Byte TX State Serializer Machine
1 -
8B/10B Encoder
0.5
Sum (2)
Serial RapidIO
1.25 Gbps, 2.5 Gbps, 3.125 Gbps HD 10-bit channel width HD, 3G 20-bit channel width
-
4-5
SDI
-
2-3
1
-
1
4-5
-
2-3
1
-
0.5
4-5
BASIC Single Width
8-bit/10-bit channel width 16-bit/20-bit channel width 16-bit/20-bit channel width
-
2-3 2-3 2-3 2-3 2-3
1 1 1 1 1
-
1 0.5 1 0.5 1
4-5 4-5 4-5 4-5 4-5
BASIC Double Width
32-bit/40-bit channel width Parallel Loopback/ BIST
Notes to Table 4-21:
(1) (2) (3) The latency numbers are with respect to the PLD-transceiver interface clock cycles. The total latency number is rounded off in the Sum column. For CPRI 614 Mbps and 1.228 Gbps data rates, the Quartus II software customizes the PLD-transceiver interface clocking to achieve zero clock cycle uncertainty in the transmitter phase compensation FIFO latency. For more details, refer to the CPRI Mode section in the Stratix II GX Transceiver Architecture Overview chapter in volume 2 of the Stratix II GX Device Handbook.
4-38 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-22. PCS Latency (Part 1 of 3) Note (1)
Receiver PCS Latency Functional Mode Configuration Rate Matcher (3) Receiver State Machine Byte Deserializer Receiver Phase Comp FIFO
Word Aligner
Deskew FIFO
8B/10B Decoder
Byte Order
Receiver PIPE
Sum (2)
XAUI x1, x4, x8 8-bit channel width x1, x4, x8 16-bit channel width
2-2.5 4-5
2-2.5 -
5.5-6.5 11-13
0.5 1
1 -
1 1
1 1
1-2 2-3
1
14-17 21-25
PIPE
2-2.5
-
5.5-6.5
0.5
-
1
1
2-3
1
13-16
GIGE OC-12 SONET/ SDH (OIF) CEI PHY CPRI (4) 614 Mbps, 1.228 Gbps 2.456 Gbps Serial RapidIO SDI 1.25 Gbps, 2.5 Gbps, 3.125 Gbps HD 10-bit channel width HD, 3G 20-bit channel width OC-48 OC-96
4-5 6-7 3-3.5 2-2.5 2.5
-
11-13 -
1 1 0.5 0.5 0.5
-
1 1 1 1 1
1 1 1-2 1 1
1-2 1-2 1-2 1-2 1-2
-
19-23 10-12 7-9 6-7 6-7
4-5 4-5 2-2.5
-
-
1 1 0.5
-
1 1 1
1 1 1
1 1-2 1-2
-
8-9 8-10 6-7
5
-
-
1
-
1
1
1-2
-
9-10
2.5
-
-
0.5
-
1
1
1-2
-
6-7
Altera Corporation October 2007
4-39 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-22. PCS Latency (Part 2 of 3) Note (1)
Receiver PCS Latency Functional Mode Configuration Rate Matcher (3) Receiver State Machine Byte Deserializer Receiver Phase Comp FIFO
Word Aligner
Deskew FIFO
8B/10B Decoder
Byte Order
Receiver PIPE
Sum (2)
8/10-bit channel width; with Rate Matcher 8/10-bit channel width; without Rate Matcher 16/20-bit channel width; with Rate Matcher 16/20-bit channel width; without Rate Matcher
4-5
-
11-13
1
-
1
1
1-2
1
19-23
4-5
-
-
1
-
1
1
1-2
-
8-10
BASIC Single Width
2-2.5
-
5.5-6.5
0.5
-
1
1
1-2
-
11-14
2-2.5
-
-
0.5
-
1
1
1-2
-
6-7
4-40 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-22. PCS Latency (Part 3 of 3) Note (1)
Receiver PCS Latency Functional Mode Configuration Rate Matcher (3) Receiver State Machine Byte Deserializer Receiver Phase Comp FIFO
Word Aligner
Deskew FIFO
8B/10B Decoder
Byte Order
Receiver PIPE
Sum (2)
16/20-bit channel width; with Rate Matcher 16/20-bit channel width; without Rate Matcher 32/40-bit channel width; with Rate Matcher 32/40-bit channel width; without Rate Matcher Notes to Table 4-21:
(1) (2) (3) (4)
4-5
-
11-13
1
-
1
1
1-2
-
19-23
4-5
-
-
1
-
1
1
1-2
-
8-10
BASIC Double Width
2-2.5
-
5.5-6.5
0.5
-
1
1
1-2
-
11-14
2-2.5
-
-
0.5
-
1
1-3
1-2
-
6-9
The latency numbers are with respect to the PLD-transceiver interface clock cycles. The total latency number is rounded off in the Sum column. The rate matcher latency shown is the steady state latency. Actual latency may vary depending on the skip ordered set gap allowed by the protocol, actual PPM difference between the reference clocks, and so forth. For CPRI 614 Mbps and 1.228 Gbps data rates, the Quartus II software customizes the PLD-transceiver interface clocking to achieve zero clock cycle uncertainty in the receiver phase compensation FIFO latency. For more details, refer to the CPRI Mode section in the Stratix II GX Transceiver Architecture Overview chapter in volume 2 of the Stratix II GX Device Handbook
Altera Corporation October 2007
4-41 Stratix II GX Device Handbook, Volume 1
Operating Conditions
DC Electrical Characteristics
Table 4-23 shows the Stratix II GX device family DC electrical characteristics.
Table 4-23. Stratix II GX Device DC Operating Conditions (Part 1 of 2) Symbol
II IOZ ICCINT0
Note (1) Unit
A A A A A A mA mA mA mA mA mA mA mA
Parameter
Input pin leakage current Tri-stated I/O pin leakage current VCCINT supply current (standby)
Conditions
VI = VCCIOmax to 0 V (2) VO = VCCIOmax to 0 V (2) VI = ground, no load, no toggling inputs TJ = 25 C VI = ground, no load, no toggling inputs TJ = 25 C, VCCPD = 3.3V VI = ground, no load, no toggling inputs TJ = 25 C All All
Device
Minimum Typical Maximum
-10 -10 0.30 0.50 0.62 0.82 2.7 3.6 4.3 5.4 4.0 4.0 4.0 4.0 10 10 (3) (3) (3) (3) (3) (3) (3) (3) (3) (3) (3) (3)
EP2SGX30 EP2SGX60 EP2SGX90 EP2SGX130
ICCPD0
VCCPD supply current (standby)
EP2SGX30 EP2SGX60 EP2SGX90 EP2SGX130 EP2SGX30 EP2SGX60 EP2SGX90 EP2SGX130
ICCI00
VCCIO supply current (standby)
4-42 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-23. Stratix II GX Device DC Operating Conditions (Part 2 of 2) Symbol
RCONF (4)
Note (1) Unit
KOhm KOhm KOhm KOhm KOhm KOhm
Parameter
Value of I/O pin pull-up resistor before and during configuration
Conditions
Vi = 0, VCCIO = 3.3 V Vi = 0, VCCIO = 2.5 V Vi = 0, VCCIO = 1.8 V Vi = 0, VCCIO = 1.5 V Vi = 0, VCCIO = 1.2 V
Device
Minimum Typical Maximum
10 15 30 40 50 25 35 50 75 90 1 50 70 100 150 170 2
Recommended value of I/O pin external pull-down resistor before and during configuration Notes to Table 4-23:
(1) (2) (3)
(4)
Typical values are for TA = 25 C, VCCINT = 1.2 V, and VCCIO = 1.5 V, 1.8 V, 2.5 V, and 3.3 V. This value is specified for normal device operation. The value may vary during power-up. This applies for all VCCIO settings (3.3, 2.5, 1.8, and 1.5 V). Maximum values depend on the actual TJ and design utilization. See PowerPlay Early Power Estimator (EPE) and Power Analyzer or the Quartus II PowerPlay Power Analyzer and Optimization Technology (available at www.altera.com) for maximum values. See the section "Power Consumption" on page 4-59 for more information. Pin pull-up resistance values will lower if an external source drives the pin higher than VCCIO.
I/O Standard Specifications
Tables 4-24 through 4-47 show the Stratix II GX device family I/O standard specifications.
Table 4-24. LVTTL Specifications Symbol
VCCIO (1) VIH VIL VOH
(Part 1 of 2) Conditions Minimum
3.135 1.7 -0.3 IOH = -4 mA (2) 2.4
Parameter
Output supply voltage High-level input voltage Low-level input voltage High-level output voltage
Maximum
3.465 4.0 0.8
Unit
V V V V
Altera Corporation October 2007
4-43 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-24. LVTTL Specifications Symbol
VOL
(1) (2)
(Part 2 of 2) Conditions
IOL = 4 mA (2)
Parameter
Low-level output voltage
Minimum
Maximum
0.45
Unit
V
Notes to Table 4-24:
Stratix II GX devices comply to the narrow range for the supply voltage as specified in the EIA/JEDEC Standard, JESD8-B. This specification is supported across all the programmable drive strength settings available for this I/O standard as shown in the Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook.
Table 4-25. LVCMOS Specifications Symbol
VCCIO(1) VIH VIL VOH VOL
(1) (2)
Note (1) Conditions Minimum
3.135 1.7 -0.3 VCCIO = 3.0, IOH = -0.1 mA (2) VCCIO = 3.0, IOL = 0.1 mA (2) VCCIO - 0.2 0.2
Parameter
Output supply voltage High-level input voltage Low-level input voltage High-level output voltage Low-level output voltage
Maximum
3.465 4.0 0.8
Unit
V V V V V
Notes to Table 4-25:
Stratix II GX devices comply to the narrow range for the supply voltage as specified in the EIA/JEDEC Standard, JESD8-B. This specification is supported across all the programmable drive strength available for this I/O standard as shown in Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook.
Table 4-26. 2.5-V I/O Specifications Symbol
VCCIO (1) VIH VIL VOH VOL
(1) (2)
Parameter
Output supply voltage High-level input voltage Low-level input voltage High-level output voltage Low-level output voltage
Conditions
Minimum
2.375 1.7 -0.3
Maximum
2.625 4.0 0.7
Unit
V V V V
IOH = -1 mA (2) IOL = 1 mA (2)
2.0 0.4
V
Notes to Table 4-26:
The Stratix II GX device VCCIO voltage level support of 2.5 to 5% is narrower than defined in the Normal Range of the EIA/JEDEC standard. This specification is supported across all the programmable drive settings available for this I/O standard as shown in Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook.
4-44 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-27. 1.8-V I/O Specifications Symbol
VCCIO (1) VIH VIL VOH VOL
(1) (2)
Parameter
Output supply voltage High-level input voltage Low-level input voltage High-level output voltage Low-level output voltage
Conditions
Minimum
1.71 0.65 x VCCIO -0.3
Maximum
1.89 2.25 0.35 x VCCIO
Unit
V V V V
IOH = -2 mA (2) IOL = 2 mA (2)
VCCIO - 0.45 0.45
V
Notes to Table 4-27:
The Stratix II GX device VCCIO voltage level support of 1.8 to 5% is narrower than defined in the Normal Range of the EIA/JEDEC standard. This specification is supported across all the programmable drive settings available for this I/O standard as shown in Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook.
Table 4-28. 1.5-V I/O Specifications Symbol
VCCIO (1) VIH VIL VOH VOL
(1) (2)
Parameter
Output supply voltage High-level input voltage Low-level input voltage High-level output voltage Low-level output voltage
Conditions
Minimum
1.425 0.65 VCCIO -0.3
Maximum
1.575 VCCIO + 0.3 0.35 VCCIO
Unit
V V V V
IOH = -2 mA (2) IOL = 2 mA (2)
0.75 VCCIO 0.25 VCCIO
V
Notes to Table 4-28:
The Stratix II GX device VCCIO voltage level support of 1.5 to 5% is narrower than defined in the Normal Range of the EIA/JEDEC standard. This specification is supported across all the programmable drive settings available for this I/O standard as shown in Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook.
Altera Corporation October 2007
4-45 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Figures 4-6 and 4-7 show receiver input and transmitter output waveforms, respectively, for all differential I/O standards (LVDS and LVPECL). Figure 4-6. Receiver Input Waveforms for Differential I/O Standards
Single-Ended Waveform Positive Channel (p) = VIH VID Negative Channel (n) = VIL VCM Ground
Differential Waveform
VID p-n=0V VID (Peak-to-Peak) VID
Figure 4-7. Transmitter Output Waveforms for Differential I/O Standards
Single-Ended Waveform Positive Channel (p) = VOH VOD Negative Channel (n) = VOL VCM Ground
Differential Waveform
VOD p-n=0V VOD
4-46 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-29. 2.5-V LVDS I/O Specifications Symbol
VCCIO
Parameter
I/O supply voltage for left and right I/O banks (1, 2, 5, and 6) Input differential voltage swing (single-ended) Input common mode voltage Output differential voltage (single-ended) Output common mode voltage Receiver differential input discrete resistor (external to Stratix II GX devices)
Conditions
Minimum
2.375
Typical
2.5
Maximum
2.625
Unit
V
VID VICM VOD VOCM RL
100 200 RL = 100 RL = 100 250 1.125 90
350 1,250
900 1,800 450 1.375
mV mV mV V
100
110
Table 4-30. 3.3-V LVDS I/O Specifications Symbol
VCCIO (1)
Parameter
I/O supply voltage for top and bottom PLL banks (9, 10, 11, and 12) Input differential voltage swing (single-ended) Input common mode voltage Output differential voltage (single-ended) Output common mode voltage Receiver differential input discrete resistor (external to Stratix II GX devices)
Conditions
Minimum
3.135
Typical
3.3
Maximum
3.465
Unit
V
VID VICM VOD VOCM RL
100 200 RL = 100 RL = 100 250 840 90
350 1,250
900 1,800 710 1,570
mV mV mV mV
100
110
Note to Table 4-30:
(1) The top and bottom clock input differential buffers in I/O banks 3, 4, 7, and 8 are powered by VCCINT, not VCCIO. The PLL clock output/feedback differential buffers are powered by VCC_PLL_OUT. For differential clock output/feedback operation, connect VCC_PLL_OUT to 3.3 V.
Altera Corporation October 2007
4-47 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-31. 3.3-V PCML Specifications Symbol
VCCIO VID VICM VOD VOD VO C M VO C M VT R1 R2
Parameter
I/O supply voltage Input differential voltage swing (single-ended) Input common mode voltage Output differential voltage (single-ended) Change in VO D between high and low Output common mode voltage Change in VO C M between high and low Output termination voltage Output external pull-up resistors Output external pull-up resistors
Conditions
Minimum
3.135 300 1.5 300
Typical
3.3
Maximum
3.465 600 3.465
Units
V mV V mV mV V mV V
370
500 50
2.5
2.85
3.3 50
VC C I O 45 45 50 50 55 55

Table 4-32. LVPECL Specifications Symbol
VCCIO (1) VID VICM VOD VOCM RL
Parameter
I/O supply voltage Input differential voltage swing (single-ended) Input common mode voltage Output differential voltage (single-ended) Output common mode voltage Receiver differential input resistor
Conditions
Minimum
3.135 300 1.0
Typical
3.3 600
Maximum
3.465 1,000 2.5 970 2,250
Unit
V mV V mV mV
RL = 100 RL = 100
525 1,650 90 100
110
Note to Table 4-32:
(1) The top and bottom clock input differential buffers in I/O banks 3, 4, 7, and 8 are powered by VCCINT, not VCCIO. The PLL clock output/feedback differential buffers are powered by VCC_PLL_OUT. For differential clock output/feedback operation, connect VCC_PLL_OUT to 3.3 V.
4-48 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-33. 3.3-V PCI Specifications Symbol
VCCIO VIH VIL VOH VOL
Parameter
Output supply voltage High-level input voltage Low-level input voltage High-level output voltage Low-level output voltage
Conditions
Minimum
3.0 0.5 VCCIO -0.3
Typical
3.3
Maximum
3.6 VCCIO + 0.5 0.3 VCCIO
Unit
V V V V
IOUT = -500 A IOUT = 1,500 A
0.9 VCCIO 0.1 VCCIO
V
Table 4-34. PCI-X Mode 1 Specifications Symbol
VCCIO VIH VIL VIPU VOH VOL
Parameter
Output supply voltage High-level input voltage Low-level input voltage Input pull-up voltage High-level output voltage Low-level output voltage
Conditions
Minimum
3.0 0.5 VCCIO -0.3 0.7 VCCIO
Typical
Maximum
3.6 VCCIO + 0.5 0.35 VCCIO
Unit
V V V V V
IOUT = -500 A IOUT = 1,500 A
0.9 VCCIO 0.1 VCCIO
V
Table 4-35. SSTL-18 Class I Specifications Symbol
VCCIO VREF VTT VIH (DC) VIL (DC) VIH (AC) VIL (AC) VOH VOL
(1)
Parameter
Output supply voltage Reference voltage Termination voltage High-level DC input voltage Low-level DC input voltage High-level AC input voltage Low-level AC input voltage High-level output voltage Low-level output voltage
Conditions
Minimum
1.71 0.855 VREF - 0.04 VREF + 0.125
Typical
1.8 0.9 VREF
Maximum
1.89 0.945 VREF + 0.04
Unit
V V V V
VREF - 0.125 VREF + 0.25 VREF - 0.25 IOH = -6.7 mA (1) IOL = 6.7 mA (1) VTT + 0.475 VTT - 0.475
V V V V V
Note to Table 4-35:
This specification is supported across all the programmable drive settings available for this I/O standard as shown in the Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook.
Altera Corporation October 2007
4-49 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-36. SSTL-18 Class II Specifications Symbol
VCCIO VREF VTT
Parameter
Output supply voltage Reference voltage Termination voltage
Conditions
Minimum
1.71 0.855 VREF - 0.04 VREF + 0.125
Typical
1.8 0.9 VREF
Maximum
1.89 0.945 VREF + 0.04
Unit
V V V V
VIH (DC) High-level DC input voltage VIL (DC) Low-level DC input voltage VIH (AC) High-level AC input voltage VIL (AC) Low-level AC input voltage VOH VOL
(1)
VREF - 0.125 VREF + 0.25 VREF - 0.25 IOH = -13.4 mA (1) IOL = 13.4 mA (1) VCCIO - 0.28 0.28
V V V V V
High-level output voltage Low-level output voltage
Note to Table 4-36:
This specification is supported across all the programmable drive settings available for this I/O standard as shown in the Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook.
Table 4-37. SSTL-18 Class I and II Differential Specifications Symbol
VCCIO VSWING (DC) VX (AC) VSWING (AC) VISO VISO VOX (AC)
Parameter
Output supply voltage DC differential input voltage AC differential input cross point voltage AC differential input voltage Input clock signal offset voltage Input clock signal offset voltage variation AC differential cross point voltage
Conditions
Minimum
1.71 0.25 (VCCIO/2) - 0.175 0.5
Typical
1.8
Maximum
1.89
Unit
V V
(VCCIO/2) + 0.175
V V
0.5 VCCIO 200 (VCCIO/2) - 0.125 (VCCIO/2) + 0.125
V mV V
4-50 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-38. SSTL-2 Class I Specifications Symbol
VCCIO VTT VREF VIH (DC) VIL (DC) VIH (AC) VIL (AC) VOH VOL
(1)
Parameter
Output supply voltage Termination voltage Reference voltage High-level DC input voltage Low-level DC input voltage High-level AC input voltage Low-level AC input voltage High-level output voltage Low-level output voltage
Conditions
Minimum
2.375 VREF - 0.04 1.188 VREF + 0.18 -0.3 VREF + 0.35
Typical
2.5 VREF 1.25
Maximum
2.625 VREF + 0.04 1.313 3.0 VREF - 0.18
Unit
V V V V V V
VREF - 0.35 IOH = -8.1 mA (1) IOL = 8.1 mA (1) VTT + 0.57 VTT - 0.57
V V V
Note to Table 4-38:
This specification is supported across all the programmable drive settings available for this I/O standard as shown in the Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook.
Table 4-39. SSTL-2 Class II Specifications Symbol
VCCIO VTT VREF VIH (DC) VIL (DC) VIH (AC) VIL (AC) VOH VOL
(1)
Parameter
Output supply voltage Termination voltage Reference voltage High-level DC input voltage Low-level DC input voltage High-level AC input voltage Low-level AC input voltage High-level output voltage Low-level output voltage
Conditions
Minimum
2.375 VREF - 0.04 1.188 VREF + 0.18 -0.3 VREF + 0.35
Typical
2.5 VREF 1.25
Maximum
2.625 VREF + 0.04 1.313 VCCIO + 0.3 VREF - 0.18
Unit
V V V V V V
VREF - 0.35 IOH = -16.4 mA (1) IOL = 16.4 mA (1) VTT + 0.76 VTT - 0.76
V V V
Note to Table 4-39:
This specification is supported across all the programmable drive settings available for this I/O standard as shown in the Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook.
Altera Corporation October 2007
4-51 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-40. SSTL-2 Class I and II Differential Specifications Symbol
VCCIO
Parameter
Output supply voltage
Conditions
Minimum
2.375 0.36 (VCCIO/2) - 0.2 0.7
Typical
2.5
Maximum
2.625
Unit
V V
VSWING (DC) DC differential input voltage VX (AC) AC differential input cross point voltage
(VCCIO/2) + 0.2
V V
VSWING (AC) AC differential input voltage VISO VISO VOX (AC) Input clock signal offset voltage Input clock signal offset voltage variation AC differential output cross point voltage
0.5 VCCIO 200 (VCCIO/2) - 0.2 (VCCIO/2) + 0.2
V mV V
Note to Table 4-39:
(1) This specification is supported across all the programmable drive settings available for this I/O standard as shown in the Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook.
Table 4-41. 1.2-V HSTL Specifications Symbol
VCCIO VREF
Parameter
Output supply voltage Reference voltage
Conditions
Minimum
1.14 0.48 VCCIO VREF + 0.08 -0.15 VREF + 0.15 -0.24
Typical
1.2 0.5 VCCIO
Maximum
1.26 0.52 VCCIO VCCIO + 0.15 VREF - 0.08 VCCIO + 0.24 VREF - 0.15 VCCIO + 0.15 VREF - 0.15
Unit
V V V V V V V V
VIH (DC) High-level DC input voltage VIL (DC) Low-level DC input voltage VIH (AC) High-level AC input voltage VIL (AC) Low-level AC input voltage VOH VOL High-level output voltage Low-level output voltage IOH = 8 mA IOH = -8 mA
VREF + 0.15 -0.15
4-52 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-42. 1.5-V HSTL Class I Specifications Symbol
VCCIO VREF VTT VIH (DC) VIL (DC) VIH (AC) VIL (AC) VOH VOL
(1)
Parameter
Output supply voltage Input reference voltage Termination voltage DC high-level input voltage DC low-level input voltage AC high-level input voltage AC low-level input voltage High-level output voltage Low-level output voltage
Conditions
Minimum
1.425 0.713 0.713 VREF + 0.1 -0.3 VREF + 0.2
Typical
1.5 0.75 0.75
Maximum
1.575 0.788 0.788
Unit
V V V V
VREF - 0.1
V V
VREF - 0.2 IOH = 8 mA (1) IOH = -8 mA (1) VCCIO - 0.4 0.4
V V V
Note to Table 4-42:
This specification is supported across all the programmable drive settings available for this I/O standard as shown in the Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook.
Table 4-43. 1.5-V HSTL Class II Specifications Symbol
VCCIO VREF VTT VIH (DC) VIL (DC) VIH (AC) VIL (AC) VOH VOL
(1)
Parameter
Output supply voltage Input reference voltage Termination voltage DC high-level input voltage DC low-level input voltage AC high-level input voltage AC low-level input voltage High-level output voltage Low-level output voltage
Conditions
Minimum
1.425 0.713 0.713 VREF + 0.1 -0.3 VREF + 0.2
Typical
1.50 0.75 0.75
Maximum
1.575 0.788 0.788
Unit
V V V V
VREF - 0.1
V V
VREF - 0.2 IOH = 16 mA (1) IOH = -16 mA (1) VCCIO - 0.4 0.4
V V V
Note to Table 4-43:
This specification is supported across all the programmable drive settings available for this I/O standard as shown in the Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook.
Altera Corporation October 2007
4-53 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-44. 1.5-V HSTL Class I and II Differential Specifications Symbol
VCCIO VDIF (DC) VCM (DC) VDIF (AC) VOX (AC)
Parameter
I/O supply voltage DC input differential voltage DC common mode input voltage AC differential input voltage AC differential cross point voltage
Conditions
Minimum
1.425 0.2 0.68 0.4 0.68
Typical
1.5
Maximum
1.575
Unit
V V
0.9
V V
0.9
V
Table 4-45. 1.8-V HSTL Class I Specifications Symbol
VCCIO VREF VTT VIH (DC) VIL (DC) VIH (AC) VIL (AC) VOH VOL
(1)
Parameter
Output supply voltage Input reference voltage Termination voltage DC high-level input voltage DC low-level input voltage AC high-level input voltage AC low-level input voltage High-level output voltage Low-level output voltage
Conditions
Minimum
1.71 0.85 0.85 VREF + 0.1 -0.3 VREF + 0.2
Typical
1.80 0.90 0.90
Maximum
1.89 0.95 0.95
Unit
V V V V
VREF - 0.1
V V
VREF - 0.2 IOH = 8 mA (1) IOH = -8 mA (1) VCCIO - 0.4 0.4
V V V
Note to Table 4-45:
This specification is supported across all the programmable drive settings available for this I/O standard as shown in the Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook.
4-54 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-46. 1.8-V HSTL Class II Specifications Symbol
VCCIO VREF VTT VIH (DC) VIL (DC) VIH (AC) VIL (AC) VOH VOL
(1)
Parameter
Output supply voltage Input reference voltage Termination voltage DC high-level input voltage DC low-level input voltage AC high-level input voltage AC low-level input voltage High-level output voltage Low-level output voltage
Conditions
Minimum
1.71 0.85 0.85 VREF + 0.1 -0.3 VREF + 0.2
Typical
1.80 0.90 0.90
Maximum
1.89 0.95 0.95
Unit
V V V V
VREF - 0.1
V V
VREF - 0.2 IOH = 16 mA (1) IOH = -16 mA (1) VCCIO - 0.4 0.4
V V V
Note to Table 4-46:
This specification is supported across all the programmable drive settings available for this I/O standard as shown in the Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook.
Table 4-47. 1.8-V HSTL Class I and II Differential Specifications Symbol
VCCIO VDIF (DC) VCM (DC) VDIF (AC) VOX (AC)
Parameter
I/O supply voltage DC input differential voltage DC common mode input voltage AC differential input voltage AC differential cross point voltage
Conditions
Minimum
1.71 0.2 0.78 0.4 0.68
Typical
1.80
Maximum
1.89
Unit
V V
1.12
V V
0.9
V
Altera Corporation October 2007
4-55 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Bus Hold Specifications
Table 4-48 shows the Stratix II GX device family bus hold specifications.
Table 4-48. Bus Hold Parameters VCCIO Level Parameter Conditions 1.2 V Min
Low sustaining current High sustaining current Low overdrive current High overdrive current Bus-hold trip point VIN > VIL (maximum) VIN < VIH (minimum) 0 V < VIN < VCCIO 0 V < VIN < VCCIO 0.45 22.5
1.5 V Min
25
1.8 V Min
30
2.5 V Min
50
3.3 V Min
70
Unit
Max
Max
Max
Max
Max
A
-22.5
-25
-30
-50
-70
A
120
160
200
300
500
A
-120
-160
-200
-300
-500
A
0.95
0.5
1.0
0.68
1.07
0.7
1.7
0.8
2.0
V
On-Chip Termination Specifications
Tables 4-49 and 4-50 define the specification for internal termination resistance tolerance when using series or differential on-chip termination.
Table 4-49. On-Chip Termination Specification for Top and Bottom I/O Banks (Part 1 of 2) Notes (1), (2) Resistance Tolerance Symbol
25- RS 3.3/2.5
Description
Internal series termination with calibration (25- setting) Internal series termination without calibration (25- setting)
Conditions
VCCIO = 3.3/2.5 V VCCIO = 3.3/2.5 V VCCIO = 3.3/2.5 V VCCIO = 3.3/2.5 V
Commercial Max
5 30 5 30
Industrial Max
10 30 10 30
Unit
% % % %
50- RS 3.3/2.5
Internal series termination with calibration (50- setting) Internal series termination without calibration (50- setting)
4-56 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-49. On-Chip Termination Specification for Top and Bottom I/O Banks (Part 2 of 2) Notes (1), (2) Resistance Tolerance Symbol
50- RT 2.5 25- RS 1.8
Description
Internal parallel termination with calibration (50- setting) Internal series termination with calibration (25- setting) Internal series termination without calibration (25- setting)
Conditions
VCCIO = 1.8 V VCCIO = 1.8 V VCCIO = 1.8 V VCCIO = 1.8 V VCCIO = 1.8 V VCCIO = 1.8 V VCCIO = 1.5 V VCCIO = 1.5 V VCCIO = 1.5 V VCCIO = 1.2 V VCCIO = 1.2 V VCCIO = 1.2 V
Commercial Max
30 5 30 5 30 10 8 36 10 8 50 10
Industrial Max
30 10 30 10 30 15 10 36 15 10 50 15
Unit
% % % % % % % % % % % %
50- RS 1.8
Internal series termination with calibration (50- setting) Internal series termination without calibration (50- setting)
50- RT 1.8 50- RS 1.5
Internal parallel termination with calibration (50- setting) Internal series termination with calibration (50- setting) Internal series termination without calibration (50- setting)
50- RT 1.5 50- RS 1.2
Internal parallel termination with calibration (50- setting) Internal series termination with calibration (50- setting) Internal series termination without calibration (50- setting)
50- RT 1.2
Internal parallel termination with calibration (50- setting)
Note for Table 4-49:
(1) (2) The resistance tolerance for calibrated SOCT is for the moment of calibration. If the temperature or voltage changes over time, the tolerance may also change. On-chip parallel termination with calibration is only supported for input pins.
Altera Corporation October 2007
4-57 Stratix II GX Device Handbook, Volume 1
Operating Conditions
Table 4-50. Series and Differential On-Chip Termination Specification for Left I/O Banks Note (1) Resistance Tolerance Symbol
25- RS 3.3/2.5 50- RS 3.3/2.5/1.8 50- RS 1.5 RD
Description
Internal series termination without calibration (25- setting) Internal series termination without calibration (50- setting) Internal series termination without calibration (50- setting) Internal differential termination for LVDS (100- setting)
Conditions
VCCIO = 3.3/2.5V VCCIO = 3.3/2.5/1.8V VCCIO = 1.5V VCCIO = 3.3 V
Commercial Industrial Max Max
30 30 36 20 30 30 36 25
Unit
% % % %
Note to Table 4-50:
(1) On-chip parallel termination with calibration is only supported for input pins.
Pin Capacitance
Table 4-51 shows the Stratix II GX device family pin capacitance.
Table 4-51. Stratix II GX Device Capacitance Symbol
CIOTB CIOL CCLKTB CCLKL CCLKL+ COUTFB
Note (1) Typical
5.0 6.1 6.0 6.1 3.3 6.7
Parameter
Input capacitance on I/O pins in I/O banks 3, 4, 7, and 8. Input capacitance on I/O pins in I/O banks 1 and 2, including high-speed differential receiver and transmitter pins. Input capacitance on top/bottom clock input pins: CLK[4..7] and
Unit
pF pF pF pF pF pF
CLK[12..15].
Input capacitance on left clock inputs: CLK0 and CLK2. Input capacitance on left clock inputs: CLK1 and CLK3. Input capacitance on dual-purpose clock output/feedback pins in PLL banks 11 and 12.
Note to Table 4-51:
(1) Capacitance is sample-tested only. Capacitance is measured using time-domain reflections (TDR). Measurement accuracy is within 0.5 pF.
4-58 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Power Consumption
Altera offers two ways to calculate power for a design: the Excel-based PowerPlay early power estimator power calculator and the Quartus(R) II PowerPlay power analyzer feature. The interactive Excel-based PowerPlay early power estimator is typically used prior to designing the FPGA in order to get an estimate of device power. The Quartus II PowerPlay power analyzer provides better quality estimates based on the specifics of the design after place-and-route is complete. The power analyzer can apply a combination of user-entered, simulation-derived and estimated signal activities which, combined with detailed circuit models, can yield very accurate power estimates. In both cases, these calculations should only be used as an estimation of power, not as a specification.
f
For more information on PowerPlay tools, refer to the PowerPlay Early Power Estimators (EPE) and Power Analyzer, the Quartus II PowerPlay Analysis and Optimization Technology, and the PowerPlay Power Analyzer chapter in volume 3 of the Quartus II Handbook. The PowerPlay early power estimators are available on the Altera web site at www.altera. com. 1 See Table 4-23 on page 42 for typical ICC standby specifications.
Timing Model
The DirectDrive technology and MultiTrack interconnect ensure predictable performance, accurate simulation, and accurate timing analysis across all Stratix II GX device densities and speed grades. This section describes and specifies the performance, internal, external, and PLL timing specifications. All specifications are representative of worst-case supply voltage and junction temperature conditions.
Preliminary and Final Timing
Timing models can have either preliminary or final status. The Quartus II software issues an informational message during the design compilation if the timing models are preliminary. Table 4-52 shows the status of the Stratix II GX device timing models. Preliminary status means the timing model is subject to change. Initially, timing numbers are created using simulation results, process data, and other known parameters. These tests are used to make the preliminary numbers as close to the actual timing parameters as possible.
Altera Corporation October 2007
4-59 Stratix II GX Device Handbook, Volume 1
Timing Model
Final timing numbers are based on actual device operation and testing. These numbers reflect the actual performance of the device under worst-case voltage and junction temperature conditions.
Table 4-52. Stratix II GX Device Timing Model Status Device
EP2SGX30 EP2SGX60 EP2SGX90 EP2SGX130
Preliminary
Final
v v v v
I/O Timing Measurement Methodology
Different I/O standards require different baseline loading techniques for reporting timing delays. Altera characterizes timing delays with the required termination for each I/O standard and with 0 pF (except for PCI and PCI-X which use 10 pF) loading and the timing is specified up to the output pin of the FPGA device. The Quartus II software calculates the I/O timing for each I/O standard with a default baseline loading as specified by the I/O standards. The following measurements are made during device characterization. Altera measures clock-to-output delays (tCO) at worst-case process, minimum voltage, and maximum temperature (PVT) for default loading conditions shown in Table 4-53. Use the following equations to calculate clock pin to output pin timing for Stratix II GX devices. tCO from clock pin to I/O pin = delay from clock pad to I/O output register + IOE output register clock-to-output delay + delay from output register to output pin + I/O output delay txz/tzx from clock pin to I/O pin = delay from clock pad to I/O output register + IOE output register clock-to-output delay + delay from output register to output pin + I/O output delay + output enable pin delay Simulation using IBIS models is required to determine the delays on the PCB traces in addition to the output pin delay timing reported by the Quartus II software and the timing model in the device handbook. 1. Simulate the output driver of choice into the generalized test setup, using values from Table 4-53. Record the time to VMEAS.
2.
4-60 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
3.
Simulate the output driver of choice into the actual PCB trace and load, using the appropriate IBIS model or capacitance value to represent the load. Record the time to VMEAS. Compare the results of steps 2 and 4. The increase or decrease in delay should be added to or subtracted from the I/O Standard Output Adder delays to yield the actual worst-case propagation delay (clock-to-output) of the PCB trace.
4. 5.
The Quartus II software reports the timing with the conditions shown in Table 4-53 using the above equation. Figure 4-8 shows the model of the circuit that is represented by the output timing of the Quartus II software. Figure 4-8. Output Delay Timing Reporting Setup Modeled by Quartus II
VTT VCCIO RT Output Buffer
Output
Outputp RD
RS CL
GND
VMEAS
Outputn
GND
Notes to Figure 4-8:
(1) Output pin timing is reported at the output pin of the FPGA device. Additional delays for loading and board trace delay need to be accounted for with IBIS model simulations. VCCPD is 3.085 V unless otherwise specified. VCCINT is 1.12 V unless otherwise specified.
(2) (3)
Table 4-53. Output Timing Measurement Methodology for Output Pins (Part 1 of 2) Notes (1), (2), (3) Loading and Termination I/O Standard RS ()
LVTTL (4) LVCMOS (4) 2.5 V (4) 1.8 V (4) 1.5 V (4)
Measurement Point VTT (V) CL (pF)
0 0 0 0 0
RD ()
RT ()
VCCIO (V)
3.135 3.135 2.375 1.710 1.425
VMEAS (V)
1.5675 1.5675 1.1875 0.855 0.7125
Altera Corporation October 2007
4-61 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-53. Output Timing Measurement Methodology for Output Pins (Part 2 of 2) Notes (1), (2), (3) Loading and Termination I/O Standard RS ()
PCI (5) PCI-X (5) SSTL-2 Class I SSTL-2 Class II SSTL-18 Class I SSTL-18 Class II 1.8-V HSTL Class I 1.8-V HSTL Class II 1.5-V HSTL Class I 1.5-V HSTL Class II 1.2-V HSTL with OCT Differential SSTL-2 Class I Differential SSTL-2 Class II Differential SSTL-18 Class I Differential SSTL-18 Class II 1.5-V differential HSTL Class I 1.5-V differential HSTL Class II 1.8-V differential HSTL Class I 1.8-V differential HSTL Class II LVDS LVPECL Notes to Table 4-53:
(1) (2) (3) (4) (5) Input measurement point at internal node is 0.5 VCCINT. Output measuring point for VMEAS at buffer output is 0.5 VCCIO. Input stimulus edge rate is 0 to VCC in 0.2 ns (internal signal) from the driver preceding the I/O buffer. Less than 50-mV ripple on VCCIO and VCCPD, VCCINT = 1.15 V with less than 30-mV ripple. VCCPD = 2.97 V, less than 50-mV ripple on VCCIO and VCCPD, VCCINT = 1.15 V.
Measurement Point VTT (V) CL (pF)
10 10 1.123 1.123 0.790 0.790 0.790 0.790 0.648 0.648 0 0 0 0 0 0 0 0 0 1.123 1.123 0.790 0.790 0.648 0.648 0.790 0.790 0 0 0 0 0 0 0 0 0 0
RD ()
RT ()
VCCIO (V)
2.970 2.970
VMEAS (V)
1.485 1.485 1.1625 1.1625 0.83 0.83 0.83 0.83 0.6875 0.6875 0.570 1.1625 1.1625 0.83 0.83 0.6875 0.6875 0.83 0.83 1.1625 1.5675
25 25 25 25
50 25 50 25 50 25 50 25
2.325 2.325 1.660 1.660 1.660 1.660 1.375 1.375 1.140
25 25 50 25
50 25 50 25 50 25 50 25 100 100
2.325 2.325 1.660 1.660 1.375 1.375 1.660 1.660 2.325 3.135
4-62 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Figures 4-9 and 4-10 show the measurement setup for output disable and output enable timing. Figure 4-9. Measurement Setup for txz Note (1)
tXZ, Driving High to Tristate Enable OE Dout Din Din OE Disable 1/2 VCCINT "1" 100 mv Dout thz GND
100
tXZ, Driving Low to Tristate Enable OE 100 OE Dout Din Dout Din tlz "0" VCCIO 100 mv Disable 1/2 VCCINT
Note to Figure 4-9:
(1) VCCINT is 1.12 V for this measurement.
Altera Corporation October 2007
4-63 Stratix II GX Device Handbook, Volume 1
Timing Model
Figure 4-10. Measurement Setup for tzx
tZX, Tristate to Driving High Disable OE Dout Din 1 M Dout tzh 1/2 VCCIO Din "1" OE Enable 1/2 VCCINT
tZX, Tristate to Driving Low Disable OE 1 M OE Dout Din Dout tzl Din "0" 1/2 VCCIO Enable 1/2 VCCINT
Table 4-54 specifies the input timing measurement setup.
Table 4-54. Timing Measurement Methodology for Input Pins (Part 1 of 2) Measurement Conditions I/O Standard VCCIO (V)
LVTTL (5) LVCMOS (5) 2.5 V (5) 1.8 V (5) 1.5 V (5) PCI (6) PCI-X (6) SSTL-2 Class I SSTL-2 Class II SSTL-18 Class I SSTL-18 Class II 1.8-V HSTL Class I 3.135 3.135 2.375 1.710 1.425 2.970 2.970 2.325 2.325 1.660 1.660 1.660 1.163 1.163 0.830 0.830 0.830
Notes (1), (2), (3), (4) Measurement Point VMEAS (V)
1.5675 1.5675 1.1875 0.855 0.7125 1.485 1.485 1.1625 1.1625 0.83 0.83 0.83
VREF (V)
Edge Rate (ns)
3.135 3.135 2.375 1.710 1.425 2.970 2.970 2.325 2.325 1.660 1.660 1.660
4-64 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-54. Timing Measurement Methodology for Input Pins (Part 2 of 2) Measurement Conditions I/O Standard VCCIO (V)
1.8-V HSTL Class II 1.5-V HSTL Class I 1.5-V HSTL Class II 1.2-V HSTL with OCT Differential SSTL-2 Class I Differential SSTL-2 Class II Differential SSTL-18 Class I Differential SSTL-18 Class II 1.5-V differential HSTL Class I 1.5-V differential HSTL Class II 1.8-V differential HSTL Class I 1.8-V differential HSTL Class II LVDS LVPECL Notes to Table 4-54:
(1) (2) (3) (4) (5) (6)
Notes (1), (2), (3), (4) Measurement Point VMEAS (V)
0.83 0.6875 0.6875 0.570 1.1625 1.1625 0.83 0.83 0.6875 0.6875 0.83 0.83 1.1625 1.5675
VREF (V)
0.830 0.688 0.688 0.570 1.163 1.163 0.830 0.830 0.688 0.688 0.830 0.830
Edge Rate (ns)
1.660 1.375 1.375 1.140 2.325 2.325 1.660 1.660 1.375 1.375 1.660 1.660 0.100 0.100
1.660 1.375 1.375 1.140 2.325 2.325 1.660 1.660 1.375 1.375 1.660 1.660 2.325 3.135
Input buffer sees no load at buffer input. Input measuring point at buffer input is 0.5 VCCIO. Output measuring point is 0.5 VCC at internal node. Input edge rate is 1 V/ns. Less than 50-mV ripple on VCCIO and VCCPD, VCCINT = 1.15 V with less than 30-mV ripple. VCCPD = 2.97 V, less than 50-mV ripple on VCCIO and VCCPD, VCCINT = 1.15 V.
Altera Corporation October 2007
4-65 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-55 shows the Stratix II GX performance for some common designs. All performance values were obtained with the Quartus II software compilation of LPM or MegaCore functions for FIR and FFT designs.
Table 4-55. Stratix II GX Performance Notes (Part 1 of 3) Resources Used Applications ALUTs TriMatrix Memory Blocks
0 0 0 0 1
Note (1) Performance -3 Speed Grade (2)
657.03 534.75 568.18 242.54 500.0
DSP Blocks
0 0 0 0 0
-3 Speed Grade (3)
620.73 517.33 539.66 231.0 476.19
-4 Speed Grade
589.62 472.81 507.61 217.77 447.22
-5 Speed Grade
477.09 369.27 422.47 180.31 373.13
Units
LE
16-to-1 multiplexer (4) 32-to-1 multiplexer (4) 16-bit counter 64-bit counter
21 38 16 64 0
MHz MHz MHz MHz MHz
TriMatrix Memory M512 block
Simple dual-port RAM 32 x 18bit FIFO 32 x 18 bit
22 0
1 1
0 0
500.00 540.54
476.19 515.46
460.82 483.09
373.13 401.6
MHz MHz
Simple dualTriMatrix port RAM 128 x Memory M4K block 36bit True dual-port RAM 128 x 18bit FIFO 128 x 36 bit
0 22
1 1
0 0
540.54 524.10
515.46 500.25
483.09 466.41
401.6 381.38
MHz MHz
4-66 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-55. Stratix II GX Performance Notes (Part 2 of 3) Resources Used Applications ALUTs TriMatrix Memory Blocks
1
Note (1) Performance -3 Speed Grade (2)
349.65
DSP Blocks
0
-3 Speed Grade (3)
333.33
-4 Speed Grade
313.47
-5 Speed Grade
261.09
Units
Single port TriMatrix RAM 4K x Memory MegaRAM 144bit block Simple dualport RAM 4K x 144bit True dual-port RAM 4K x 144 bit Single port RAM 8K x 72 bit Simple dualport RAM 8K x 72 bit True dual-port RAM 8K x 72 bit Single port RAM 16K x 36 bit Simple dualport RAM 16K x 36 bit True dual-port RAM 16K x 36 bit Single port RAM 32K x 18 bit Simple dualport RAM 32K x 18 bit True dual-port RAM 32K x 18 bit
0
MHz
0
1
0
420.16
400.0
375.93
313.47
MHz
0
1
0
349.65
333.33
313.47
261.09
MHz
0 0
1 1
0 0
354.6 420.16
337.83 400.0
317.46 375.93
263.85 313.47
MHz MHz
0 0
1 1
0 0
349.65 364.96
333.33 347.22
313.47 325.73
261.09 271.73
MHz MHz
0
1
0
420.16
400.0
375.93
313.47
MHz
0
1
0
359.71
342.46
322.58
268.09
MHz
0
1
0
364.96
347.22
325.73
271.73
MHz
0
1
0
420.16
400.0
375.93
313.47
MHz
0
1
0
359.71
342.46
322.58
268.09
MHz
Altera Corporation October 2007
4-67 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-55. Stratix II GX Performance Notes (Part 3 of 3) Resources Used Applications ALUTs TriMatrix Memory Blocks
1 1
Note (1) Performance -3 Speed Grade (2)
364.96 420.16
DSP Blocks
0 0
-3 Speed Grade (3)
347.22 400.0
-4 Speed Grade
325.73 375.93
-5 Speed Grade
271.73 313.47
Units
Single port RAM TriMatrix 64K x 9 bit Memory MegaRAM Simple block dual-port RAM (cont.) 64K x 9 bit True dual-port RAM 64K x 9 bit DSP block 9 x 9-bit multiplier (5) 18 x 18-bit multiplier (5) 18 x 18-bit multiplier (7) 36 x 36-bit multiplier (5) 36 x 36-bit multiplier (6) 18-bit, 4-tap FIR filter Notes to Table 4-55:
(1) (2) (3) (4) (5) (6) (7)
0 0
MHz MHz
0 0 0 0 0 0 0
1 0 0 0 0 0 0
0 1 1 1 1 1 1
359.71 430.29 410.17 450.04 250.0 410.17 410.17
342.46 409.16 390.01 428.08 238.15 390.01 390.01
322.58 385.2 367.1 403.22 224.01 367.1 367.1
268.09 320.1 305.06 335.12 186.6 305.06 305.06
MHz MHz MHz MHz MHz MHz MHz
These design performance numbers were obtained using the Quartus II software. This column refers to -3 speed grades for EP2SGX30, EP2SGX60, and EP2SGX90 devices. This column refers to -3 speed grades for EP2SGX130 devices. This application uses registered inputs and outputs. This application uses registered multiplier input and output stages within the DSP block. This application uses registered multiplier input, pipeline, and output stages within the DSP block. This application uses registered multiplier inputs with outputs of the multiplier stage feeding the accumulator or subtractor within the DSP block.
4-68 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Internal Timing Parameters
Refer to Tables 4-56 through 4-61 for internal timing parameters.
Table 4-56. LE_FF Internal Timing Microparameters -3 Speed Grade (1) Min
tSU tH tCO tCLR tPRE tCLKL tCLKH tL U T tA D D E R Notes to Table 4-56:
(1) (2) This column refers to -3 speed grades for EP2SGX30, EP2SGX60, and EP2SGX90 devices. This column refers to -3 speed grades for EP2SGX130 devices.
Symbol
Parameter
LE register setup time before clock LE register hold time after clock LE register clock-to-output delay Minimum clear pulse width Minimum preset pulse width Minimum clock low time Minimum clock high time
-3 Speed Grade (2) Min
95 157
-4 Speed Grade Min
101 167
-5 Speed Grade Min
121 200
Unit
ps ps
Max
Max
Max
Max
90 149 62 204 204 612 612 170 372 378 619 94
62 214 214 642 642 170 372
99
62 227 227 683 683
105
62 273 273 820 820
127
ps ps ps ps ps
397 650
170 372
422 691
170 372
507 829
Table 4-57. IOE Internal Timing Microparameters (Part 1 of 2) -3 Speed Grade (1) Min
tSU IOE input and output register setup time before clock IOE input and output register hold time after clock IOE input and output register clock-to-output delay 122
Symbol
Parameter
-3 Speed Grade (2) Min
128
-4 Speed Grade Min
136
-5 Speed Grade Min
163
Unit
ps
Max
Max
Max
Max
tH
72
75
80
96
ps
tCO
101
169
101
177
101
188
101
226
ps
Altera Corporation October 2007
4-69 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-57. IOE Internal Timing Microparameters (Part 2 of 2) -3 Speed Grade (1) Min
tPIN2COMBOUT_R Row input pin to IOE combinational output tPIN2COMBOUT_C Column input pin to IOE combinational output tCOMBIN2PIN_R Row IOE data input to combinational output pin Column IOE data input to combinational output pin Minimum clear pulse width Minimum preset pulse width Minimum clock low time Minimum clock high time 410 428
Symbol
Parameter
-3 Speed Grade (2) Min
410 428
-4 Speed Grade Min
410 428
-5 Speed Grade Min
410 428
Unit
ps ps
Max
760 787
Max
798 825
Max
848 878
Max
1018 1054
1101
2026
1101
2127
1101
2261
1101
2439
ps
tCOMBIN2PIN_C
991
1854
991
1946
991
2069
991
2246
ps
tCLR tPRE tCLKL tCLKH
200 200 600 600
210 210 630 630
223 223 669 669
268 268 804 804
ps ps ps ps
Notes to Table 4-57:
(1) (2) This column refers to -3 speed grades for EP2SGX30, EP2SGX60, and EP2SGX90 devices. This column refers to -3 speed grades for EP2SGX130 devices.
Table 4-58. DSP Block Internal Timing Microparameters (Part 1 of 2) -3 Speed Grade (1) Min
tSU Input, pipeline, and output register setup time before clock Input, pipeline, and output register hold time after clock Input, pipeline, and output register clock-to-output delay 50
Symbol
Parameter
-3 Speed Grade (2) Min
52
-4 Speed Grade Min
55
-5 Speed Grade Min
67
Unit
ps
Max
Max
Max
Max
tH
180
189
200
241
ps
tCO
0
0
0
0
0
0
0
0
ps
4-70 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-58. DSP Block Internal Timing Microparameters (Part 2 of 2) -3 Speed Grade (1) Min
tINREG2PIPE9 Input register to DSP block pipeline register in 9 x 9-bit mode Input register to DSP block pipeline register in 18 x 18bit mode Input register to DSP block pipeline register in 36 x 36bit mode 1312
Symbol
Parameter
-3 Speed Grade (2) Min
1312
-4 Speed Grade Min
1312
-5 Speed Grade Min
1312
Unit
ps
Max
2030
Max
2131
Max
2266
Max
2720
tINREG2PIPE18
1302
2010
1302
2110
1302
2244
1302
2693
ps
tINREG2PIPE36
1302
2010
1302
2110
1302
2244
1302
2693
ps
tPIPE2OUTREG2ADD DSP block pipeline register to output register delay in two-multipliers adder mode tPIPE2OUTREG4ADD DSP block pipeline register to output register delay in four-multipliers adder mode tPD9 Combinational input to output delay for 9x9 Combinational input to output delay for 18 x 18 Combinational input to output delay for 36 x 36 Minimum clear pulse width Minimum clock low time Minimum clock high time
924
1450
924
1522
924
1618
924
1943
ps
1134
1850
1134
1942
1134
2065
1134
2479
ps
2100
2880
2100
3024
2100
3214
2100
3859
ps
tPD18
2110
2990
2110
3139
2110
3337
2110
4006
ps
tPD36
2939
4450
2939
4672
2939
4967
2939
5962
ps
tCLR tCLKL tCLKH
2212 1190 1190
2322 1249 1249
2469 1328 1328
2964 1594 1594
ps ps ps
Notes to Table 4-58:
(1) (2) This column refers to -3 speed grades for EP2SGX30, EP2SGX60, and EP2SGX90 devices. This column refers to -3 speed grades for EP2SGX130 devices.
Altera Corporation October 2007
4-71 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-59. M512 Block Internal Timing Microparameters (Part 1 of 2) -3 Speed Grade(2) Min
tM512RC tM512WERESU Synchronous read cycle time Write or read enable setup time before clock Write or read enable hold time after clock Data setup time before clock Data hold time after clock 2089 22
Symbol
Parameter
-3 Speed Grade -4 Speed Grade -5 Speed Grade (3) Unit Min
2089 23
Max
2318
Max
2433
Min
2089 24
Max
2587
Min
2089 29
Max
3104 ps ps
tM512WEREH
203
213
226
272
ps
tM512DATASU tM512DATAH
22 203 22
23 213 23
24 226 24
29 272 29
ps ps ps
tM512WADDRSU Write address setup time before clock tM512WADDRH Write address hold time after clock Read address setup time before clock Read address hold time after clock Clock-to-output delay when using output registers Clock-to-output delay without output registers Minimum clock low time Minimum clock high time
203
213
226
272
ps
tM512RADDRSU
22
23
24
29
ps
tM512RADDRH
203
213
226
272
ps
tM512DATACO1
298
478
298
501
298
533
298
640
ps
tM512DATACO2
2102
2345
2102
2461
2102
2616
2102
3141
ps
tM512CLKL tM512CLKH
1315 1315
1380 1380
1468 1468
1762 1762
ps ps
4-72 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-59. M512 Block Internal Timing Microparameters (Part 2 of 2) -3 Speed Grade(2) Min
tM512CLR Minimum clear pulse width 144
Symbol
Parameter
-3 Speed Grade -4 Speed Grade -5 Speed Grade (3) Unit Min
151
Max
Max
Min
160
Max
Min
192
Max
ps
Notes to Table 4-59:
(1) (2) (3) The M512 block fMAX obtained using the Quartus II software does not necessarily equal to 1/TM512RC. This column refers to -3 speed grades for EP2SGX30, EP2SGX60, and EP2SGX90 devices. This column refers to -3 speed grades for EP2SGX130 devices.
Table 4-60. M4K Block Internal Timing Microparameters (Part 1 of 2)
Note (1)
Symbol
tM4KRC tM4KWERESU
Parameter
Synchronous read cycle time Write or read enable setup time before clock Write or read enable hold time after clock Byte enable setup time before clock Byte enable hold time after clock A port data setup time before clock A port data hold time after clock A port address setup time before clock A port address hold time after clock B port data setup time before clock
-3 Speed Grade -3 Speed Grade -4 Speed Grade -5 Speed Grade (2) (3) Unit Min
1462 22
Max
2240
Min
1462 23
Max
2351
Min
1462 24
Max
2500
Min
1462 29
Max
3000 ps ps
tM4KWEREH
203
213
226
272
ps
tM4KBESU
22
23
24
29
ps
tM4KBEH tM4KDATAASU tM4KDATAAH tM4KADDRASU
203 22 203 22
213 23 213 23
226 24 226 24
272 29 272 29
ps ps ps ps
tM4KADDRAH
203
213
226
272
ps
tM4KDATABSU
22
23
24
29
ps
Altera Corporation October 2007
4-73 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-60. M4K Block Internal Timing Microparameters (Part 2 of 2)
Note (1)
Symbol
tM4KDATABH
Parameter
B port data hold time after clock
-3 Speed Grade -3 Speed Grade -4 Speed Grade -5 Speed Grade (2) (3) Unit Min
203 22
Max
Min
213 23
Max
Min
226 24
Max
Min
272 29
Max
ps ps
tM4KRADDRBSU B port address setup time before clock tM4KRADDRBH B port address hold time after clock Clock-to-output delay when using output registers Clock-to-output delay without output registers Minimum clock high time Minimum clock low time Minimum clear pulse width
203
213
226
272
ps
tM4KDATACO1
334
524
334
549
334
584
334
701
ps
tM4KDATACO2
1616
2453
1616
2574
1616
2737
1616
3286
ps
tM4KCLKH tM4KCLKL tM4KCLR
1250 1250 144
1312 1312 151
1395 1395 160
1675 1675 192
ps ps ps
Notes to Table 4-60:
(1) (2) (3) The M512 block fMAX obtained using the Quartus II software does not necessarily equal to 1/TM4KRC. This column refers to -3 speed grades for EP2SGX30, EP2SGX60, and EP2SGX90 devices. This column refers to -3 speed grades for EP2SGX130 devices.
Table 4-61. M-RAM Block Internal Timing Microparameters (Part 1 of 2) -3 Speed Grade (2) Min
tMEGARC tMEGAWERESU Synchronous read cycle time Write or read enable setup time before clock Write or read enable hold time after clock 1866 144
Note (1) -5 Speed Grade Min
1866 192
Symbol
Parameter
-3 Speed Grade (3) Min
1866 151
-4 Speed Grade Min
1866 160
Unit
ps ps
Max
2774
Max
2911
Max
3096
Max
3716
tMEGAWEREH
39
40
43
52
ps
4-74 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-61. M-RAM Block Internal Timing Microparameters (Part 2 of 2) -3 Speed Grade (2) Min
tMEGABESU tMEGABEH tMEGADATAASU tMEGADATAAH Byte enable setup time before clock Byte enable hold time after clock A port data setup time before clock A port data hold time after clock -9 39 50 243 589 -347 50 243 589 -347 480 715
Note (1) -5 Speed Grade Min
-13 52 67 325 789 -465 67 325 789 -465 797 480 957
Symbol
Parameter
-3 Speed Grade (3) Min
-10 40 52 255 618 -365 52 255 618 -365 480 749
-4 Speed Grade Min
-11 43 55 271 657 -388 55 271 657 -388 480
Unit
ps ps ps ps ps ps ps ps ps ps ps
Max
Max
Max
Max
tMEGAADDRASU A port address setup time before clock tMEGAADDRAH tMEGADATABSU tMEGADATABH A port address hold time after clock B port setup time before clock B port hold time after clock
tMEGAADDRBSU B port address setup time before clock tMEGAADDRBH tMEGADATACO1 B port address hold time after clock Clock-to-output delay when using output registers Clock-to-output delay without output registers Minimum clock low time Minimum clock high time Minimum clear pulse width
tMEGADATACO2
1950
2899
1950
3042
1950
3235
1950
3884
ps
tMEGACLKL tMEGACLKH tMEGACLR
1250 1250 144
1312 1312 151
1395 1395 160
1675 1675 192
ps ps ps
Notes to Table 4-61:
(1) (2) (3) The M512 block fMAX obtained using the Quartus II software does not necessarily equal to 1/TMEGARC. This column refers to -3 speed grades for EP2SGX30, EP2SGX60, and EP2SGX90 devices. This column refers to -3 speed grades for EP2SGX130 devices.
Altera Corporation October 2007
4-75 Stratix II GX Device Handbook, Volume 1
Timing Model
Stratix II GX Clock Timing Parameters
See Tables 4-62 through 4-78 for Stratix II GX clock timing parameters.
Table 4-62. Stratix II GX Clock Timing Parameters Symbol
tCIN tCOUT tPLLCIN tPLLCOUT
Parameter
Delay from clock pad to I/O input register Delay from clock pad to I/O output register Delay from PLL inclk pad to I/O input register Delay from PLL inclk pad to I/O output register
EP2SGX30 Clock Timing Parameters
Tables 4-63 through 4-66 show the maximum clock timing parameters for EP2SGX30 devices.
Table 4-63. EP2SGX30 Column Pins Global Clock Timing Parameters Fast Corner Parameter Industrial
tC I N tC O U T tP L L C I N tP L L C O U T 1.615 1.450 0.11 -0.055
Commercial
1.633 1.468 0.129 -0.036
-3 Speed Grade
2.669 2.427 0.428 0.186
-4 Speed Grade
2.968 2.698 0.466 0.196
-5 Speed Grade
3.552 3.228 0.547 0.223
Units
ns ns ns ns
Table 4-64. EP2SGX30 Row Pins Global Clock Timing Parameters Fast Corner Parameter Industrial
tC I N tC O U T tP L L C I N tP L L C O U T 1.365 1.370 -0.151 -0.146
Commercial
1.382 1.387 -0.136 -0.131
-3 Speed Grade
2.280 2.276 0.043 0.039
-4 Speed Grade
2.535 2.531 0.037 0.033
-5 Speed Grade
3.033 3.028 0.032 0.027
Units
ns ns ns ns
4-76 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-65. EP2SGX30 Column Pins Regional Clock Timing Parameters Fast Corner Parameter Industrial
tC I N tC O U T tP L L C I N tP L L C O U T 1.493 1.353 0.087 -0.078
Commercial
1.507 1.372 0.104 -0.061
-3 Speed Grade
2.522 2.525 0.237 0.237
-4 Speed Grade
2.806 2.809 0.253 0.253
-5 Speed Grade
3.364 3.364 0.292 0.29
Units
ns ns ns ns
Table 4-66. EP2SGX30 Row Pins Regional Clock Timing Parameters Fast Corner Parameter Industrial
tC I N tC O U T tP L L C I N tP L L C O U T 1.246 1.251 -0.18 -0.175
Commercial
1.262 1.267 -0.167 -0.162
-3 Speed Grade
2.437 2.437 0.215 0.215
-4 Speed Grade
2.712 2.712 0.229 0.229
-5 Speed Grade
3.246 3.246 0.263 0.263
Units
ns ns ns ns
EP2SGX60 Clock Timing Parameters
Tables 4-67 through 4-70 show the maximum clock timing parameters for EP2SGX60 devices.
Table 4-67. EP2SGX60 Column Pins Global Clock Timing Parameters Fast Corner Parameter Industrial
tC I N tC O U T tP L L C I N tP L L C O U T 1.722 1.557 0.037 -0.128
Commercial
1.736 1.571 0.051 -0.114
-3 Speed Grade
2.940 2.698 0.474 0.232
-4 Speed Grade
3.275 3.005 0.521 0.251
-5 Speed Grade
3.919 3.595 0.613 0.289
Units
ns ns ns ns
Altera Corporation October 2007
4-77 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-68. EP2SGX60 Row Pins Global Clock Timing Parameters Fast Corner Parameter Industrial
tC I N tC O U T tP L L C I N tP L L C O U T 1.494 1.499 -0.183 -0.178
Commercial
1.508 1.513 -0.168 -0.163
-3 Speed Grade
2.582 2.578 0.116 0.112
-4 Speed Grade
2.875 2.871 0.122 0.118
-5 Speed Grade
3.441 3.436 0.135 0.13
Units
ns ns ns ns
Table 4-69. EP2SGX60 Column Pins Regional Clock Timing Parameters Fast Corner Parameter Industrial
tC I N tC O U T tP L L C I N tP L L C O U T 1.577 1.412 0.065 -0.1
Commercial
1.591 1.426 0.08 -0.085
-3 Speed Grade
2.736 2.740 0.334 0.334
-4 Speed Grade
3.048 3.052 0.361 0.361
-5 Speed Grade
3.648 3.653 0.423 0.423
Units
ns ns ns ns
Table 4-70. EP2SGX60 Row Pins Regional Clock Timing Parameters Fast Corner Parameter Industrial
tC I N tC O U T tP L L C I N tP L L C O U T 1.342 1.347 -0.18 -0.175
Commercial
1.355 1.360 -0.166 -0.161
-3 Speed Grade
2.716 2.716 0.326 0.334
-4 Speed Grade
3.024 3.024 0.352 0.361
-5 Speed Grade
3.622 3.622 0.412 0.423
Units
ns ns ns ns
4-78 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
EP2SGX90 Clock Timing Parameters
Tables 4-71 through 4-74 show the maximum clock timing parameters for EP2SGX90 devices.
Table 4-71. EP2SGX90 Column Pins Global Clock Timing Parameters Fast Corner Parameter Industrial
tC I N tC O U T tP L L C I N tP L L C O U T 1.861 1.696 -0.254 -0.419
Commercial
1.878 1.713 -0.237 -0.402
-3 Speed Grade
3.115 2.873 0.171 -0.071
-4 Speed Grade
3.465 3.195 0.179 -0.091
-5 Speed Grade
4.143 3.819 0.206 -0.118
Units
ns ns ns ns
Table 4-72. EP2SGX90 Row Pins Global Clock Timing Parameters Fast Corner Parameter Industrial
tC I N tC O U T tP L L C I N tP L L C O U T 1.634 1.639 -0.481 -0.476
Commercial
1.650 1.655 -0.465 -0.46
-3 Speed Grade
2.768 2.764 -0.189 -0.193
-4 Speed Grade
3.076 3.072 -0.223 -0.227
-5 Speed Grade
3.678 3.673 -0.279 -0.284
Units
ns ns ns ns
Table 4-73. EP2SGX90 Column Pins Regional Clock Timing Parameters Fast Corner Parameter Industrial
tC I N tC O U T tP L L C I N tP L L C O U T 1.688 1.551 -0.105 -0.27
Commercial
1.702 1.569 -0.089 -0.254
-3 Speed Grade
2.896 2.893 0.224 0.224
-4 Speed Grade
3.224 3.220 0.241 0.241
-5 Speed Grade
3.856 3.851 0.254 0.254
Units
ns ns ns ns
Altera Corporation October 2007
4-79 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-74. EP2SGX90 Row Pins Regional Clock Timing Parameters Fast Corner Parameter Industrial
tC I N tC O U T tP L L C I N tP L L C O U T 1.444 1.449 -0.348 -0.343
Commercial
1.461 1.466 -0.333 -0.328
-3 Speed Grade
2.792 2.792 0.204 0.212
-4 Speed Grade
3.108 3.108 0.217 0.217
-5 Speed Grade
3.716 3.716 0.243 0.254
Units
ns ns ns ns
EP2SGX130 Clock Timing Parameters
Tables 4-75 through 4-78 show the maximum clock timing parameters for EP2SGX130 devices.
Table 4-75. EP2SGX130 Column Pins Global Clock Timing Parameters Fast Corner Parameter Industrial
tC I N tC O U T tP L L C I N tP L L C O U T 1.980 1.815 -0.027 -0.192
Commercial
1.998 1.833 -0.009 -0.174
-3 Speed Grade
3.491 3.237 0.307 0.053
-4 Speed Grade
3.706 3.436 0.322 0.052
-5 Speed Grade
4.434 4.110 0.376 0.052
Units
ns ns ns ns
Table 4-76. EP2SGX130 Row Pins Global Clock Timing Parameters Fast Corner Parameter Industrial
tC I N tC O U T tP L L C I N tP L L C O U T 1.741 1.746 -0.261 -0.256
Commercial
1.759 1.764 -0.243 -0.238
-3 Speed Grade
3.112 3.108 -0.089 -0.093
-4 Speed Grade
3.303 3.299 -0.099 -0.103
-5 Speed Grade
3.950 3.945 -0.129 -0.134
Units
ns ns ns ns
4-80 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-77. EP2SGX130 Column Pins Regional Clock Timing Parameters Fast Corner Parameter Industrial
tC I N tC O U T tP L L C I N tP L L C O U T 1.815 1.650 0.116 -0.049
Commercial
1.834 1.669 0.134 -0.031
-3 Speed Grade
3.218 3.218 0.349 0.361
-4 Speed Grade
3.417 3.417 0.364 0.378
-5 Speed Grade
4.087 4.087 0.426 0.444
Units
ns ns ns ns
Table 4-78. EP2SGX130 Row Pins Regional Clock Timing Parameters Fast Corner Parameter Industrial
tC I N tC O U T tP L L C I N tP L L C O U T 1.544 1.549 -0.149 -0.144
Commercial
1.560 1.565 -0.132 -0.127
-3 Speed Grade
3.195 3.195 0.34 0.342
-4 Speed Grade
3.395 3.395 0.356 0.356
-5 Speed Grade
4.060 4.060 0.417 0.417
Units
ns ns ns ns
Clock Network Skew Adders
The Quartus II software models skew within dedicated clock networks such as global and regional clocks. Therefore, the intra-clock network skew adder is not specified. Table 4-79 specifies the clock skew between any two clock networks driving registers in the IOE.
Table 4-79. Clock Network Specifications Name
Clock skew adder EP2SGX30 (1) Clock skew adder EP2SGX60 (1) Clock skew adder EP2SGX90 (1) Clock skew adder EP2SGX130 (1) Note to Table 4-79:
(1) This is in addition to intra-clock network skew, which is modeled in the Quartus II software.
Description
Inter-clock network, same side Inter-clock network, entire chip Inter-clock network, same side Inter-clock network, entire chip Inter-clock network, same side Inter-clock network, entire chip Inter-clock network, same side Inter-clock network, entire chip
Min
Typ
Max
50 100 50 100 55 110 63 125
Unit
ps ps ps ps ps ps ps ps
Altera Corporation October 2007
4-81 Stratix II GX Device Handbook, Volume 1
Timing Model
IOE Programmable Delay
See Tables 4-80 and 4-81 for IOE programmable delay.
Table 4-80. Stratix II GX IOE Programmable Delay on Column Pins
Minimum Timing Min Offset 0 Max Offset 1781 -3 Speed Grade (2) Min Offset 0 Max Offset 2881
Note (1)
-4 Speed Grade Min Offset 0 Max Offset 3217 -5 Speed Grade
Parameter
Paths Affected
Available Settings
-3 Speed Grade (3) Min Offset 0 Max Offset 3025
Unit
Min Offset 0 Max Offset 3,860 ps
Input delay from pin to internal cells
Pad to I/O dataout to core
8
Pad to Input delay from I/O input register pin to input register Delay from output register to output pin I/O output register to pad
64
0
2053
0
3275
0
3439
0
3657
0
4388
ps
2
0
332
0
500
0
525
0
559
0
670
ps
tXZ, tZX Output enable pin delay Notes to Table 4-80:
(1) (2) (3)
2
0
320
0
483
0
507
0
539
0
647
ps
The incremental values for the settings are generally linear. For the exact delay associated with each setting, use the latest version of the Quartus II software. This column refers to -3 speed grades for EP2SGX30, EP2SGX60, and EP2SGX90 devices. This column refers to -3 speed grades for EP2SGX130 devices.
4-82 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-81. Stratix II GX IOE Programmable Delay on Row Pins
Minimum Timing Min Max Offset Offset 0 1782 -3 Speed Grade Min Offset 0
Note (1)
-3 Speed Grade Max Offset 3020 -4 Speed Grade -5 Speed Grade
Parameter
Paths Affected
Available Settings
Unit
Max Min Offset Offset 2876 0 Min Max Min Max Offset Offset Offset Offset 0 3212 0 3853 ps
Input delay from pin to internal cells Input delay from pin to input register Delay from output register to output pin Output enable pin delay
Pad to I/O dataout to logic array Pad to I/O input register I/O output register to pad tXZ, tZX
8
64
0
2054
0
3270
0
3434
0
3652
0
4381
ps
2
0
332
0
500
0
525
0
559
0
670
ps
2
0
320
0
483
0
507
0
539
0
647
ps
Notes to Table 4-81:
(1) The incremental values for the settings are generally linear. For the exact delay associated with each setting, use the latest version of the Quartus II software.
Default Capacitive Loading of Different I/O Standards
See Table 4-82 for default capacitive loading of different I/O standards.
Table 4-82. Default Loading of Different I/O Standards for Stratix II GX Devices (Part 1 of 2) I/O Standard
LVTTL LVCMOS 2.5 V 1.8 V 1.5 V PCI PCI-X SSTL-2 Class I SSTL-2 Class II
Capacitive Load
0 0 0 0 0 10 10 0 0
Unit
pF pF pF pF pF pF pF pF pF
Altera Corporation October 2007
4-83 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-82. Default Loading of Different I/O Standards for Stratix II GX Devices (Part 2 of 2) I/O Standard
SSTL-18 Class I SSTL-18 Class II 1.5-V HSTL Class I 1.5-V HSTL Class II 1.8-V HSTL Class I 1.8-V HSTL Class II Differential SSTL-2 Class I Differential SSTL-2 Class II Differential SSTL-18 Class I Differential SSTL-18 Class II 1.5-V differential HSTL Class I 1.5-V differential HSTL Class II 1.8-V differential HSTL Class I 1.8-V differential HSTL Class II LVDS
Capacitive Load
0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Unit
pF pF pF pF pF pF pF pF pF pF pF pF pF pF pF
I/O Delays
See Tables 4-83 through 4-87 for I/O delays.
Table 4-83. I/O Delay Parameters Symbol
tDIP tOP tPCOUT tPI
Parameter
Delay from I/O datain to output pad Delay from I/O output register to output pad Delay from input pad to I/O dataout to core Delay from input pad to I/O input register
Table 4-84. Stratix II GX I/O Input Delay for Column Pins (Part 1 of 3) I/O Standard
LVTTL
Parameter
tPI tPCOUT
Fast Corner Industrial/ Commercial
707 428
-3 Speed Grade (2)
1223 787
-3 Speed Grade (3)
1282 825
-4 Speed Grade
1364 878
-5 Speed Unit Grade
1637 1054 ps ps
4-84 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-84. Stratix II GX I/O Input Delay for Column Pins (Part 2 of 3) I/O Standard
2.5 V
Parameter
tPI tPCOUT
Fast Corner Industrial/ Commercial
717 438 783 504 786 507 707 428 530 251 530 251 569 290 569 290 587 308 587 308 569 290 569 290 712 433 712 433 530 251
-3 Speed Grade (2)
1210 774 1366 930 1436 1000 1223 787 818 382 818 382 898 462 898 462 993 557 993 557 898 462 898 462 1214 778 1214 778 818 382
-3 Speed Grade (3)
1269 812 1433 976 1506 1049 1282 825 857 400 857 400 941 484 941 484 1041 584 1041 584 941 484 941 484 1273 816 1273 816 857 400
-4 Speed Grade
1349 863 1523 1037 1602 1116 1364 878 912 426 912 426 1001 515 1001 515 1107 621 1107 621 1001 515 1001 515 1354 868 1354 868 912 426
-5 Speed Unit Grade
1619 1036 1829 1246 1922 1339 1637 1054 1094 511 1094 511 1201 618 1201 618 1329 746 1329 746 1201 618 1201 618 1625 1042 1625 1042 1094 511 ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps
1.8 V
tPI tPCOUT
1.5 V
tPI tPCOUT
LVCMOS
tPI tPCOUT
SSTL-2 Class I
tPI tPCOUT
SSTL-2 Class II
tPI tPCOUT
SSTL-18 Class I
tPI tPCOUT
SSTL-18 Class II
tPI tPCOUT
1.5-V HSTL Class I
tPI tPCOUT
1.5-V HSTL Class II
tPI tPCOUT
1.8-V HSTL Class I
tPI tPCOUT
1.8-V HSTL Class II
tPI tPCOUT
PCI
tPI tPCOUT
PCI-X
tP I tPCOUT
Differential SSTL-2 Class I (1)
tPI tPCOUT
Altera Corporation October 2007
4-85 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-84. Stratix II GX I/O Input Delay for Column Pins (Part 3 of 3) I/O Standard
Differential SSTL-2 Class II (1) Differential SSTL-18 Class I (1) Differential SSTL-18 Class II (1) 1.8-V differential HSTL Class I (1) 1.8-V differential HSTL Class II (1) 1.5-V differential HSTL Class I (1) 1.5-V differential HSTL Class II (1) Notes for Table 4-84:
(1) (2) (3) These I/O standards are only supported on DQS pins. This column refers to -3 speed grades for EP2SGX30, EP2SGX60, and EP2SGX90 devices. This column refers to -3 speed grades for EP2SGX130 devices.
Parameter
tPI tPCOUT tPI tPCOUT tPI tPCOUT tPI tPCOUT tPI tPCOUT tPI tPCOUT tPI tPCOUT
Fast Corner Industrial/ Commercial
530 251 569 290 569 290 569 290 569 290 587 308 587 308
-3 Speed Grade (2)
818 382 898 462 898 462 898 462 898 462 993 557 993 557
-3 Speed Grade (3)
857 400 941 484 941 484 941 484 941 484 1041 584 1041 584
-4 Speed Grade
912 426 1001 515 1001 515 1001 515 1001 515 1107 621 1107 621
-5 Speed Unit Grade
1094 511 1201 618 1201 618 1201 618 1201 618 1329 746 1329 746 ps ps ps ps ps ps ps ps ps ps ps ps ps ps
Table 4-85. Stratix II GX I/O Input Delay for Row Pins (Part 1 of 3) I/O Standard
LVTTL
Parameter
tPI tPCOUT
Fast Corner Industrial/ Commercial
749 410 761 422 827 488 830 491
-3 Speed Grade (2)
1287 760 1273 746 1427 900 1498 971
-3 Speed Grade (3)
1350 798 1335 783 1497 945 1571 1019
-4 Speed Grade
1435 848 1419 832 1591 1004 1671 1084
-5 Speed Grade
1723 1018 1704 999 1911 1206 2006 1301
Unit
ps ps ps ps ps ps ps ps
2.5 V
tPI tPCOUT
1.8 V
tPI tPCOUT
1.5 V
tPI tPCOUT
4-86 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-85. Stratix II GX I/O Input Delay for Row Pins (Part 2 of 3) I/O Standard
LVCMOS
Parameter
tPI tPCOUT
Fast Corner Industrial/ Commercial
749 410 573 234 573 234 605 266 605 266 631 292 631 292 605 266 605 266 830 491 830 491 540 201 540 201 573 234 573 234
-3 Speed Grade (2)
1287 760 879 352 879 352 960 433 960 433 1056 529 1056 529 960 433 960 433 1498 971 1498 971 948 421 948 421 879 352 879 352
-3 Speed Grade (3)
1350 798 921 369 921 369 1006 454 1006 454 1107 555 1107 555 1006 454 1006 454 1571 1019 1571 1019 994 442 994 442 921 369 921 369
-4 Speed Grade
1435 848 980 393 980 393 1070 483 1070 483 1177 590 1177 590 1070 483 1070 483 1671 1084 1671 1084 1057 470 1057 470 980 393 980 393
-5 Speed Grade
1723 1018 1176 471 1176 471 1285 580 1285 580 1413 708 1413 708 1285 580 1285 580 2006 1301 2006 1301 1269 564 1269 564 1176 471 1176 471
Unit
ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps
SSTL-2 Class I
tPI tPCOUT
SSTL-2 Class II
tPI tPCOUT
SSTL-18 Class I
tPI tPCOUT
SSTL-18 Class II
tPI tPCOUT
1.5-V HSTL Class I
tPI tPCOUT
1.5-V HSTL Class II
tPI tPCOUT
1.8-V HSTL Class I
tPI tPCOUT
1.8-V HSTL Class II
tPI tPCOUT
PCI
tPI tPCOUT
PCI-X
tPI tPCOUT
LVDS (1)
tPI tPCOUT
HyperTransport
tPI tPCOUT
Differential SSTL-2 Class I Differential SSTL-2 Class II
tPI tPCOUT tPI tPCOUT
Altera Corporation October 2007
4-87 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-85. Stratix II GX I/O Input Delay for Row Pins (Part 3 of 3) I/O Standard
Differential SSTL-18 Class I Differential SSTL-18 Class II 1.8-V differential HSTL Class I 1.8-V differential HSTL Class II 1.5-V differential HSTL Class I 1.5-V differential HSTL Class II Notes to Table 4-85:
(1) (2) (3) The parameters are only available on the left side of the device. This column refers to -3 speed grades for EP2SGX30, EP2SGX60, and EP2SGX90 devices. This column refers to -3 speed grades for EP2SGX130 devices.
Parameter
tPI tPCOUT tPI tPCOUT tPI tPCOUT tPI tPCOUT tPI tPCOUT tPI tPCOUT
Fast Corner Industrial/ Commercial
605 266 605 266 605 266 605 266 631 292 631 292
-3 Speed Grade (2)
960 433 960 433 960 433 960 433 1056 529 1056 529
-3 Speed Grade (3)
1006 454 1006 454 1006 454 1006 454 1107 555 1107 555
-4 Speed Grade
1070 483 1070 483 1070 483 1070 483 1177 590 1177 590
-5 Speed Grade
1285 580 1285 580 1285 580 1285 580 1413 708 1413 708
Unit
ps ps ps ps ps ps ps ps ps ps ps ps
4-88 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-86. Stratix II GX I/O Output Delay for Column Pins (Part 1 of 7) I/O Standard
LVTTL
Drive Parameter Strength
4 mA tOP tDIP 8 mA tOP tDIP 12 mA tOP tDIP 16 mA tOP tDIP 20 mA tOP tDIP 24 mA (1) tOP tDIP
Fast Corner -3 Speed Industrial/ Grade (3) Commercial
1236 1258 1091 1113 1024 1046 998 1020 976 998 969 991 1091 1113 999 1021 971 993 978 1000 965 987 954 976 2351 2417 2036 2102 2036 2102 1893 1959 1787 1853 1788 1854 2036 2102 1786 1852 1720 1786 1693 1759 1677 1743 1659 1725
-3 Speed Grade (4)
2467 2537 2136 2206 2136 2206 1986 2056 1875 1945 1876 1946 2136 2206 1874 1944 1805 1875 1776 1846 1759 1829 1741 1811
-4 Speed Grade
2624 2698 2272 2346 2272 2346 2112 2186 1994 2068 1995 2069 2272 2346 1993 2067 1919 1993 1889 1963 1871 1945 1851 1925
-5 Speed Grade
2820 2910 2448 2538 2448 2538 2279 2369 2154 2244 2156 2246 2448 2538 2153 2243 2075 2165 2043 2133 2025 2115 2003 2093
Unit
ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps
LVCMOS
4 mA
tOP tDIP
8 mA
tOP tDIP
12 mA
tOP tDIP
16 mA
tOP tDIP
20 mA
tOP tDIP
24 mA (1)
tOP tDIP
Altera Corporation October 2007
4-89 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-86. Stratix II GX I/O Output Delay for Column Pins (Part 2 of 7) I/O Standard
2.5 V
Drive Parameter Strength
4 mA tOP tDIP 8 mA tOP tDIP 12 mA tOP tDIP 16 mA (1) tOP tDIP
Fast Corner -3 Speed Industrial/ Grade (3) Commercial
1053 1075 1001 1023 980 1002 962 984 1093 1115 1098 1120 1022 1044 1024 1046 978 1000 979 1001 1073 1095 1009 1031 1012 1034 971 993 2063 2129 1841 1907 1742 1808 1679 1745 2904 2970 2248 2314 2024 2090 1947 2013 1882 1948 1833 1899 2505 2571 2023 2089 1923 1989 1878 1944
-3 Speed Grade (4)
2165 2235 1932 2002 1828 1898 1762 1832 3048 3118 2359 2429 2124 2194 2043 2113 1975 2045 1923 1993 2629 2699 2123 2193 2018 2088 1970 2040
-4 Speed Grade
2302 2376 2054 2128 1944 2018 1873 1947 3241 3315 2509 2583 2258 2332 2172 2246 2100 2174 2045 2119 2795 2869 2257 2331 2146 2220 2095 2169
-5 Speed Grade
2480 2570 2218 2308 2101 2191 2027 2117 3472 3562 2698 2788 2434 2524 2343 2433 2266 2356 2209 2299 3002 3092 2433 2523 2315 2405 2262 2352
Unit
ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps
1.8 V
2 mA
tOP tDIP
4 mA
tOP tDIP
6 mA
tOP tDIP
8 mA
tOP tDIP
10 mA
tOP tDIP
12 mA (1)
tOP tDIP
1.5 V
2 mA
tOP tDIP
4 mA
tOP tDIP
6 mA
tOP tDIP
8 mA (1)
tOP tDIP
4-90 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-86. Stratix II GX I/O Output Delay for Column Pins (Part 3 of 7) I/O Standard
SSTL-2 Class I
Drive Parameter Strength
8 mA tOP tDIP 12 mA (1) tOP tDIP
Fast Corner -3 Speed Industrial/ Grade (3) Commercial
957 979 940 962 918 940 919 941 915 937 953 975 958 980 937 959 942 964 936 958 925 947 937 959 933 955 933 955 1715 1781 1672 1738 1609 1675 1598 1664 1596 1662 1690 1756 1656 1722 1640 1706 1638 1704 1626 1692 1597 1663 1578 1644 1585 1651 1583 1649
-3 Speed Grade (4)
1799 1869 1754 1824 1688 1758 1676 1746 1674 1744 1773 1843 1737 1807 1721 1791 1718 1788 1706 1776 1675 1745 1655 1725 1663 1733 1661 1731
-4 Speed Grade
1913 1987 1865 1939 1795 1869 1783 1857 1781 1855 1886 1960 1848 1922 1830 1904 1827 1901 1814 1888 1782 1856 1761 1835 1768 1842 1766 1840
-5 Speed Grade
2041 2131 1991 2081 1918 2008 1905 1995 1903 1993 2012 2102 1973 2063 1954 2044 1952 2042 1938 2028 1904 1994 1882 1972 1890 1980 1888 1978
Unit
ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps
SSTL-2 Class II
16 mA
tOP tDIP
20 mA
tOP tDIP
24 mA (1)
tOP tDIP
SSTL-18 Class I
4 mA
tOP tDIP
6 mA
tOP tDIP
8 mA
tOP tDIP
10 mA
tOP tDIP
12 mA (1)
tOP tDIP
SSTL-18 Class II
8 mA
tOP tDIP
16 mA
tOP tDIP
18 mA
tOP tDIP
20 mA (1)
tOP tDIP
Altera Corporation October 2007
4-91 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-86. Stratix II GX I/O Output Delay for Column Pins (Part 4 of 7) I/O Standard
1.8-V HSTL Class I
Drive Parameter Strength
4 mA tOP tDIP 6 mA tOP tDIP 8 mA tOP tDIP 10 mA tOP tDIP 12 mA (1) tOP tDIP
Fast Corner -3 Speed Industrial/ Grade (3) Commercial
956 978 962 984 940 962 944 966 936 958 919 941 921 943 921 943 956 978 961 983 943 965 943 965 937 959 1608 1674 1595 1661 1586 1652 1591 1657 1585 1651 1385 1451 1394 1460 1402 1468 1607 1673 1588 1654 1590 1656 1592 1658 1590 1656
-3 Speed Grade (4)
1687 1757 1673 1743 1664 1734 1669 1739 1663 1733 1453 1523 1462 1532 1471 1541 1686 1756 1666 1736 1668 1738 1670 1740 1668 1738
-4 Speed Grade
1794 1868 1779 1853 1769 1843 1775 1849 1768 1842 1545 1619 1555 1629 1564 1638 1793 1867 1772 1846 1774 1848 1776 1850 1774 1848
-5 Speed Grade
1943 2033 1928 2018 1917 2007 1923 2013 1916 2006 1680 1770 1691 1781 1700 1790 1942 2032 1920 2010 1922 2012 1924 2014 1922 2012
Unit
ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps
1.8-V HSTL Class II
16 mA
tOP tDIP
18 mA
tOP tDIP
20 mA (1)
tOP tDIP
1.5-V HSTL Class I
4 mA
tOP tDIP
6 mA
tOP tDIP
8 mA
tOP tDIP
10 mA
tOP tDIP
12 mA (1)
tOP tDIP
4-92 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-86. Stratix II GX I/O Output Delay for Column Pins (Part 5 of 7) I/O Standard
1.5-V HSTL Class II
Drive Parameter Strength
16 mA tOP tDIP 18 mA tOP tDIP 20 mA (1) tOP tDIP
Fast Corner -3 Speed Industrial/ Grade (3) Commercial
924 946 927 949 929 951 1082 1104 1082 1104 957 979 940 962 918 940 919 941 915 937 953 975 958 980 937 959 942 964 936 958 1431 1497 1439 1505 1450 1516 1956 2022 1956 2022 1715 1781 1672 1738 1609 1675 1598 1664 1596 1662 1690 1756 1656 1722 1640 1706 1638 1704 1626 1692
-3 Speed Grade (4)
1501 1571 1510 1580 1521 1591 2051 2121 2051 2121 1799 1869 1754 1824 1688 1758 1676 1746 1674 1744 1773 1843 1737 1807 1721 1791 1718 1788 1706 1776
-4 Speed Grade
1596 1670 1605 1679 1618 1692 2176 2250 2176 2250 1913 1987 1865 1939 1795 1869 1783 1857 1781 1855 1886 1960 1848 1922 1830 1904 1827 1901 1814 1888
-5 Speed Grade
1734 1824 1744 1834 1757 1847 2070 2160 2070 2160 2041 2131 1991 2081 1918 2008 1905 1995 1903 1993 2012 2102 1973 2063 1954 2044 1952 2042 1938 2028
Unit
ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps
PCI
-
tOP tDIP
PCI-X
-
tOP tDIP
Differential SSTL2 Class I (2)
8 mA
tOP tDIP
12 mA
tOP tDIP
Differential SSTL-2 Class II (2)
16 mA
tOP tDIP
20 mA
tOP tDIP
24 mA
tOP tDIP
Differential SSTL-18 Class I (2)
4 mA
tOP tDIP
6 mA
tOP tDIP
8 mA
tOP tDIP
10 mA
tOP tDIP
12 mA
tOP tDIP
Altera Corporation October 2007
4-93 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-86. Stratix II GX I/O Output Delay for Column Pins (Part 6 of 7) I/O Standard
Differential SSTL-18 Class II (2)
Drive Parameter Strength
8 mA tOP tDIP 16 mA tOP tDIP 18 mA tOP tDIP 20 mA tOP tDIP
Fast Corner -3 Speed Industrial/ Grade (3) Commercial
925 947 937 959 933 955 933 955 956 978 962 984 940 962 944 966 936 958 919 941 921 943 921 943 1597 1663 1578 1644 1585 1651 1583 1649 1608 1674 1595 1661 1586 1652 1591 1657 1585 1651 1385 1451 1394 1460 1402 1468
-3 Speed Grade (4)
1675 1745 1655 1725 1663 1733 1661 1731 1687 1757 1673 1743 1664 1734 1669 1739 1663 1733 1453 1523 1462 1532 1471 1541
-4 Speed Grade
1782 1856 1761 1835 1768 1842 1766 1840 1794 1868 1779 1853 1769 1843 1775 1849 1768 1842 1545 1619 1555 1629 1564 1638
-5 Speed Grade
1904 1994 1882 1972 1890 1980 1888 1978 1943 2033 1928 2018 1917 2007 1923 2013 1916 2006 1680 1770 1691 1781 1700 1790
Unit
ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps
1.8-V differential HSTL Class I (2)
4 mA
tOP tDIP
6 mA
tOP tDIP
8 mA
tOP tDIP
10 mA
tOP tDIP
12 mA
tOP tDIP
1.8-V differential HSTL Class II (2)
16 mA
tOP tDIP
18 mA
tOP tDIP
20 mA
tOP tDIP
4-94 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-86. Stratix II GX I/O Output Delay for Column Pins (Part 7 of 7) I/O Standard
1.5-V differential HSTL Class I (2)
Drive Parameter Strength
4 mA tOP tDIP 6 mA tOP tDIP 8 mA tOP tDIP 10 mA tOP tDIP 12 mA tOP tDIP
Fast Corner -3 Speed Industrial/ Grade (3) Commercial
956 978 961 983 943 965 943 965 937 959 924 946 927 949 929 951 1607 1673 1588 1654 1590 1656 1592 1658 1590 1656 1431 1497 1439 1505 1450 1516
-3 Speed Grade (4)
1686 1756 1666 1736 1668 1738 1670 1740 1668 1738 1501 1571 1510 1580 1521 1591
-4 Speed Grade
1793 1867 1772 1846 1774 1848 1776 1850 1774 1848 1596 1670 1605 1679 1618 1692
-5 Speed Grade
1942 2032 1920 2010 1922 2012 1924 2014 1922 2012 1734 1824 1744 1834 1757 1847
Unit
ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps
1.5-V differential HSTL Class II (2)
16 mA
tOP tDIP
18 mA
tOP tDIP
20 mA
tOP tDIP
Notes to Table 4-86:
(1) (2) (3) (4) This is the default setting in the Quartus II software. These I/O standards are only supported on DQS pins. This column refers to -3 speed grades for EP2SGX30, EP2SGX60, and EP2SGX90 devices. This column refers to -3 speed grades for EP2SGX130 devices.
Table 4-87. Stratix II GX I/O Output Delay for Row Pins (Part 1 of 4) I/O Standard
LVTTL
Drive Strength
4 mA
Parameter
tOP tDIP
Fast Corner Industrial/ Commercial
1328 1285 1200 1157 1144 1101
-3 Speed Grade (3)
2655 2600 2113 2058 2081 2026
-3 Speed Grade (4)
2786 2729 2217 2160 2184 2127
-4 Speed Grade
2962 2902 2357 2297 2321 2261
-5 Speed Unit Grade
3189 3116 2549 2476 2512 2439 ps ps ps ps ps ps
8 mA
tOP tDIP
12 mA (1)
tOP tDIP
Altera Corporation October 2007
4-95 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-87. Stratix II GX I/O Output Delay for Row Pins (Part 2 of 4) I/O Standard
LVCMOS
Drive Strength
4 mA
Parameter
tOP tDIP
Fast Corner Industrial/ Commercial
1200 1157 1094 1051 1061 1018 1183 1140 1080 1037 1061 1018 1253 1210 1242 1199 1131 1088 1100 1057 1213 1170 1106 1063 1050 1007 1026 983 992 949
-3 Speed Grade (3)
2113 2058 1853 1798 1723 1668 2091 2036 1872 1817 1775 1720 2954 2899 2294 2239 2039 1984 1942 1887 2530 2475 2020 1965 1759 1704 1694 1639 1581 1526
-3 Speed Grade (4)
2217 2160 1944 1887 1808 1751 2194 2137 1964 1907 1862 1805 3100 3043 2407 2350 2140 2083 2038 1981 2655 2598 2120 2063 1846 1789 1777 1720 1659 1602
-4 Speed Grade
2357 2297 2067 2007 1922 1862 2332 2272 2088 2028 1980 1920 3296 3236 2559 2499 2274 2214 2166 2106 2823 2763 2253 2193 1962 1902 1889 1829 1763 1703
-5 Speed Unit Grade
2549 2476 2243 2170 2089 2016 2523 2450 2265 2192 2151 2078 3542 3469 2763 2690 2462 2389 2348 2275 3041 2968 2440 2367 2104 2031 2028 1955 1897 1824 ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps
8 mA (1)
tOP tDIP
12 mA (1)
tOP tDIP
2.5 V
4 mA
tOP tDIP
8 mA
tOP tDIP
12 mA (1)
tOP tDIP
1.8 V
2 mA
tOP tDIP
4 mA
tOP tDIP
6 mA
tOP tDIP
8 mA (1)
tOP tDIP
1.5 V
2 mA
tOP tDIP
4 mA (1)
tOP tDIP
SSTL-2 Class I
8 mA
tOP tDIP
12 mA (1)
tOP tDIP
SSTL-2 Class II 16 mA (1)
tOP tDIP
4-96 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-87. Stratix II GX I/O Output Delay for Row Pins (Part 3 of 4) I/O Standard
SSTL-18 Class I
Drive Strength
4 mA
Parameter
tOP tDIP
Fast Corner Industrial/ Commercial
1038 995 1042 999 1018 975 1021 978 1019 976 1022 979 1004 961 1008 965 999 956 1018 975 1021 978 1006 963 1050 1007 1026 983 992 949
-3 Speed Grade (3)
1709 1654 1648 1593 1633 1578 1615 1560 1610 1555 1580 1525 1576 1521 1567 1512 1566 1511 1591 1536 1579 1524 1572 1517 1759 1704 1694 1639 1581 1526
-3 Speed Grade (4)
1793 1736 1729 1672 1713 1656 1694 1637 1689 1632 1658 1601 1653 1596 1644 1587 1643 1586 1669 1612 1657 1600 1649 1592 1846 1789 1777 1720 1659 1602
-4 Speed Grade
1906 1846 1838 1778 1821 1761 1801 1741 1795 1735 1762 1702 1757 1697 1747 1687 1746 1686 1774 1714 1761 1701 1753 1693 1962 1902 1889 1829 1763 1703
-5 Speed Unit Grade
2046 1973 1975 1902 1958 1885 1937 1864 1956 1883 1920 1847 1916 1843 1905 1832 1904 1831 1933 1860 1919 1846 1911 1838 2104 2031 2028 1955 1897 1824 ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps
6 mA
tOP tDIP
8 mA
tOP tDIP
10 mA (1)
tOP tDIP
1.8-V HSTL Class I
4 mA
tOP tDIP
6 mA
tOP tDIP
8 mA
tOP tDIP
10 mA
tOP tDIP
12 mA (1)
tOP tDIP
1.5-V HSTL Class I
4 mA
tOP tDIP
6 mA
tOP tDIP
8 mA (1)
tOP tDIP
Differential SSTL-2 Class I
8 mA
tOP tDIP
12 mA
tOP tDIP
Differential SSTL-2 Class II
16 mA
tOP tDIP
Altera Corporation October 2007
4-97 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-87. Stratix II GX I/O Output Delay for Row Pins (Part 4 of 4) I/O Standard
Differential SSTL-18 Class I
Drive Strength
4 mA
Parameter
tOP tDIP
Fast Corner Industrial/ Commercial
1038 995 1042 999 1018 975 1021 978 1067 1024 1053 1010
-3 Speed Grade (3)
1709 1654 1648 1593 1633 1578 1615 1560 1723 1668 1723 1668
-3 Speed Grade (4)
1793 1736 1729 1672 1713 1656 1694 1637 1808 1751 1808 1751
-4 Speed Grade
1906 1846 1838 1778 1821 1761 1801 1741 1922 1862 1922 1862
-5 Speed Unit Grade
2046 1973 1975 1902 1958 1885 1937 1864 2089 2016 2089 2016 ps ps ps ps ps ps ps ps ps ps ps ps
6 mA
tOP tDIP
8 mA
tOP tDIP
10 mA
tOP tDIP
LVDS (2)
-
tOP tDIP
HyperTransport
-
tOP tDIP
Notes to Table 4-87:
(1) (2) (3) (4) This is the default setting in the Quartus II software. The parameters are only available on the left side of the device. This column refers to -3 speed grades for EP2SGX30, EP2SGX60, and EP2SGX90 devices. This column refers to -3 speed grades for EP2SGX130 devices.
Maximum Input and Output Clock Toggle Rate
Maximum clock toggle rate is defined as the maximum frequency achievable for a clock type signal at an I/O pin. The I/O pin can be a regular I/O pin or a dedicated clock I/O pin. The maximum clock toggle rate is different from the maximum data bit rate. If the maximum clock toggle rate on a regular I/O pin is 300 MHz, the maximum data bit rate for dual data rate (DDR) could be potentially as high as 600 Mbps on the same I/O pin. Tables 4-88 through 4-90 specify the maximum input clock toggle rates. Tables 4-91 through 4-96 specify the maximum output clock toggle rates at 0 pF load. Table 4-97 specifies the derating factors for the output clock toggle rate for a non 0 pF load.
4-98 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
To calculate the output toggle rate for a non 0 pF load, use this formula: The toggle rate for a non 0 pF load = 1,000 / (1,000/ toggle rate at 0 pF load + derating factor x load value in pF /1,000) For example, the output toggle rate at 0 pF load for SSTL-18 Class II 20 mA I/O standard is 550 MHz on a -3 device clock output pin. The derating factor is 94 ps/pF. For a 10 pF load the toggle rate is calculated as: 1,000 / (1,000/550 + 94 x 10 /1,000) = 363 (MHz) Table 4-88 shows the maximum input clock toggle rates for Stratix II GX device column pins.
Table 4-88. Stratix II GX Maximum Input Clock Rate for Column I/O Pins (Part 1 of 2) I/O Standard
LVTTL 2.5 V 1.8 V 1.5 V LVCMOS SSTL-2 Class I SSTL-2 Class II SSTL-18 Class I SSTL-18 Class I I 1.5-V HSTL Class I 1.5-V HSTL Class I I 1.8-V HSTL Class I 1.8-V HSTL Class II PCI PCI-X Differential SSTL-2 Class I Differential SSTL-2 Class II Differential SSTL-18 Class I
-3 Speed Grade
500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500
-4 Speed Grade
500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500
-5 Speed Grade
450 450 450 450 450 500 500 500 500 500 500 500 500 450 450 500 500 500
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz
Altera Corporation October 2007
4-99 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-88. Stratix II GX Maximum Input Clock Rate for Column I/O Pins (Part 2 of 2) I/O Standard
Differential SSTL-18 Class I I 1.8-V differential HSTL Class I 1.8-V differential HSTL Class II 1.5-V differential HSTL Class I 1.5-V differential HSTL Class I I 1.2-V HSTL 1.2-V differential HSTL
-3 Speed Grade
500 500 500 500 500 280 280
-4 Speed Grade
500 500 500 500 500 250 250
-5 Speed Grade
500 500 500 500 500 250 250
Unit
MHz MHz MHz MHz MHz MHz MHz
Table 4-89 shows the maximum input clock toggle rates for Stratix II GX device row pins.
Table 4-89. Stratix II GX Maximum Input Clock Rate for Row I/O Pins (Part 1 of 2) I/O Standard
LVTTL 2.5 V 1.8 V 1.5 V LVCMOS SSTL-2 Class I SSTL-2 Class II SSTL-18 Class I SSTL-18 Class II 1.5-V HSTL Class I 1.5-V HSTL Class II 1.8-V HSTL Class I 1.8-V HSTL Class II PCI PCI-X Differential SSTL-2 Class I
-3 Speed Grade
500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500
-4 Speed Grade
500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500
-5 Speed Grade
450 450 450 450 450 500 500 500 500 500 500 500 500 425 425 500
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz
4-100 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-89. Stratix II GX Maximum Input Clock Rate for Row I/O Pins (Part 2 of 2) I/O Standard
Differential SSTL-2 Class II Differential SSTL-18 Class I Differential SSTL-18 Class I I 1.8-V differential HSTL Class I 1.8-V differential HSTL Class I I 1.5-V differential HSTL Class I 1.5-V differential HSTL Class II LVDS (1) HyperTransport Note to Table 4-89:
(1) The parameters are only available on the left side of the device.
-3 Speed Grade
500 500 500 500 500 500 500 520 520
-4 Speed Grade
500 500 500 500 500 500 500 520 520
-5 Speed Grade
500 500 500 500 500 500 500 420 420
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz
Table 4-90 shows the maximum input clock toggle rates for Stratix II GX device dedicated clock pins.
Table 4-90. Stratix II GX Maximum Input Clock Rate for Dedicated Clock Pins (Part 1 of 2) I/O Standard
LVTTL 2.5 V 1.8 V 1.5 V LVCMOS SSTL-2 Class I SSTL-2 Class II SSTL-18 Class I SSTL-18 Class II 1.5-V HSTL Class I 1.5-V HSTL Class II 1.8-V HSTL CLass I
-3 Speed Grade
500 500 500 500 500 500 500 500 500 500 500 500
-4 Speed Grade
500 500 500 500 500 500 500 500 500 500 500 500
-5 Speed Grade
400 400 400 400 400 500 500 500 500 500 500 500
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz
Altera Corporation October 2007
4-101 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-90. Stratix II GX Maximum Input Clock Rate for Dedicated Clock Pins (Part 2 of 2) I/O Standard
1.8-V HSTL CLass I PCI PCI-X Differential SSTL-2 Class I Differential SSTL-2 Class II Differential SSTL-18 Class I Differential SSTL-18 Class II 1.8-V differential HSTL Class I 1.8-V differential HSTL Class II 1.5-V differential HSTL Class I 1.5-V differential HSTL Class I I HyperTransport (1)
-3 Speed Grade
500 500 500 500 500 500 500 500 500 500 500 717 450
-4 Speed Grade
500 500 500 500 500 500 500 500 500 500 500 717 450 717 450 717 450
-5 Speed Grade
500 400 400 500 500 500 500 500 500 500 500 640 400 640 400 640 400
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz
LVPECL (1), (2)
717 450
LVDS (1)
717 450
Notes to Table 4-90:
(1) (2) The first set of numbers refers to the HIO dedicated clock pins. The second set of numbers refers to the VIO dedicated clock pins. LVPECL is only supported on column clock pins.
4-102 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-91 shows the maximum output clock toggle rates for Stratix II GX device column pins.
Table 4-91. Stratix II GX Maximum Output Clock Rate for Column Pins (Part 1 of 3) I/O Standard
LVTTL
Drive Strength
4 mA 8 mA 12 mA 16 mA 20 mA 24 mA (1)
-3 Speed Grade
270 435 580 720 875 1030 290 565 790 1020 1066 1100 230 430 630 930 120 285 450 660 905 1131 244 470 550 625 400 400 350 400 400
-4 Speed Grade
225 355 475 594 700 794 250 480 710 925 985 1040 194 380 575 845 109 250 390 570 805 1040 200 370 430 495 300 400 350 350 400
-5 Speed Grade
210 325 420 520 610 670 230 440 670 875 935 1000 180 380 550 820 104 230 360 520 755 990 180 325 375 420 300 350 300 350 350
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz
LVCMOS
4 mA 8 mA 12 mA 16 mA 20 mA 24 mA (1)
2.5 V
4 mA 8 mA 12 mA 16 mA (1)
1.8 V
2 mA 4 mA 6 mA 8 mA 10 mA 12 mA (1)
1.5 V
2 mA 4 mA 6 mA 8 mA (1)
SSTL-2 Class I
8 mA 12 mA (1)
SSTL-2 Class II
16 mA 20 mA 24 mA (1)
Altera Corporation October 2007
4-103 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-91. Stratix II GX Maximum Output Clock Rate for Column Pins (Part 2 of 3) I/O Standard
SSTL-18 Class I
Drive Strength
4 mA 6 mA 8 mA 10 mA 12 mA (1)
-3 Speed Grade
200 350 450 500 700 200 400 450 550 300 500 650 700 700 500 550 650 350 500 700 700 700 600 650 700 1000 1000 400 400 350 400 400
-4 Speed Grade
150 250 300 400 550 200 350 400 500 300 450 600 650 700 500 500 550 300 500 650 700 700 600 600 650 790 790 300 400 350 350 400
-5 Speed Grade
150 200 300 400 400 150 350 400 450 300 450 600 600 650 450 500 550 300 450 600 650 700 550 600 600 670 670 300 350 300 350 350
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz
SSTL-18 Class II
8 mA 16 mA 18 mA 20 mA (1)
1.8-V HSTL Class I
4 mA 6 mA 8 mA 10 mA 12 mA (1)
1.8-V HSTL Class II
16 mA 18 mA 20 mA (1)
1.5-V HSTL Class I
4 mA 6 mA 8 mA 10 mA 12 mA (1)
1.5-V HSTL Class II
16 mA 18 mA 20 mA (1)
PCI PCI-X Differential SSTL-2 Class I Differential SSTL-2 Class II
8 mA 12 mA 16 mA 20 mA 24 mA
4-104 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-91. Stratix II GX Maximum Output Clock Rate for Column Pins (Part 3 of 3) I/O Standard
Differential SSTL-18 Class I
Drive Strength
4 mA 6 mA 8 mA 10 mA 12 mA
-3 Speed Grade
200 350 450 500 700 200 400 450 550 300 500 650 700 700 500 550 650 350 500 700 700 700 600 650 700
-4 Speed Grade
150 250 300 400 550 200 350 400 500 300 450 600 650 700 500 500 550 300 500 650 700 700 600 600 650
-5 Speed Grade
150 200 300 400 400 150 350 400 450 300 450 600 600 650 450 500 550 300 450 600 650 700 550 600 600
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz
Differential SSTL-18 Class II
8 mA 16 mA 18 mA 20 mA
1.8-V HSTL differential Class I
4 mA 6 mA 8 mA 10 mA 12 mA
1.8-V HSTL differential Class II 1.5-V HSTL differential Class I
16 mA 18 mA 20 mA 4 mA 6 mA 8 mA 10 mA 12 mA
1.5-V HSTL differential Class II Note to Table 4-91:
(1)
16 mA 18 mA 20 mA
This is the default setting in the Quartus II software.
Altera Corporation October 2007
4-105 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-92 shows the maximum output clock toggle rates for Stratix II GX device row pins.
Table 4-92. Stratix II GX Maximum Output Clock Rate for Row Pins (Part 1 of 2) I/O Standard
LVTTL
Drive Strength
4 mA 8 mA 12 mA (1)
-3 Speed Grade
270 435 580 290 565 350 230 430 630 120 285 450 660 244 470 400 400 350 350 200 350 450 500 350 300 500 650 700 700 350 500 700
-4 Speed Grade
225 355 475 250 480 350 194 380 575 109 250 390 570 200 370 300 400 350 350 150 250 300 400 350 300 450 600 650 700 300 500 650
-5 Speed Grade
210 325 420 230 440 297 180 380 550 104 230 360 520 180 325 300 350 300 297 150 200 300 400 297 300 450 600 600 650 300 450 600
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz
LVCMOS
4 mA 8 mA 12 mA (1)
2.5 V
4 mA 8 mA 12 mA (1)
1.8 V
2 mA 4 mA 6 mA 8 mA (1)
1.5 V
2 mA 4 mA (1)
SSTL-2 Class I
8 mA 12 mA (1)
SSTL-2 Class II
16 mA 20 mA (1)
SSTL-18 Class I
4 mA 6 mA 8 mA 10 mA 12 mA (1)
1.8-V HSTL Class I
4 mA 6 mA 8 mA 10 mA 12 mA (1)
1.5-V HSTL Class I
4 mA 6 mA 8 mA (1)
4-106 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-92. Stratix II GX Maximum Output Clock Rate for Row Pins (Part 2 of 2) I/O Standard
Differential SSTL-2 Class I Differential SSTL-2 Class II Differential SSTL-18 Class I
Drive Strength
8 mA 12 mA 16 mA (1) 4 mA 6 mA 8 mA 10 mA (1)
-3 Speed Grade
400 400 350 200 350 450 500 717 717
-4 Speed Grade
300 400 350 150 250 300 400 717 717
-5 Speed Grade
300 350 300 150 200 300 400 640 640
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz
LVDS HyperTransport Note to Table 4-92:
(1)
-
This is the default setting in Quartus II software.
Table 4-93 shows the maximum output clock toggle rate for Stratix II GX device dedicated clock pins.
Table 4-93. Stratix II GX Maximum Output Clock Rate for Dedicated Clock Pins (Part 1 of 4) I/O Standard
LVTTL
Drive Strength
4 mA 8 mA 12 mA 16 mA 20 mA 24 mA (1)
-3 Speed Grade
270 435 580 720 875 1030 290 565 790 1020 1066 1100
-4 Speed Grade
225 355 475 594 700 794 250 480 710 925 985 1040
-5 Speed Grade
210 325 420 520 610 670 230 440 670 875 935 1000 MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz
Unit
LVCMOS
4 mA 8 mA 12 mA 16 mA 20 mA 24 mA (1)
Altera Corporation October 2007
4-107 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-93. Stratix II GX Maximum Output Clock Rate for Dedicated Clock Pins (Part 2 of 4) I/O Standard
2.5 V
Drive Strength
4 mA 8 mA 12 mA 16 mA (1)
-3 Speed Grade
230 430 630 930 120 285 450 660 905 1131 244 470 550 625 400 400 350 400 400 200 350 450 500 650 200 400 450 550 300 500 650 700 700
-4 Speed Grade
194 380 575 845 109 250 390 570 805 1040 200 370 430 495 300 400 350 350 400 150 250 300 400 550 200 350 400 500 300 450 600 650 700
-5 Speed Grade
180 380 550 820 104 230 360 520 755 990 180 325 375 420 300 350 300 350 350 150 200 300 400 400 150 350 400 450 300 450 600 600 650
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz
1.8 V
2 mA 4 mA 6 mA 8 mA 10 mA 12 mA (1)
1.5 V
2 mA 4 mA 6 mA 8 mA (1)
SSTL-2 Class I
8 mA 12 mA (1)
SSTL-2 Class II
16 mA 20 mA 24 mA (1)
SSTL-18 Class I
4 mA 6 mA 8 mA 10 mA 12 mA (1)
SSTL-18 Class II
8 mA 16 mA 18 mA 20 mA (1)
1.8-V HSTL Class I
4 mA 6 mA 8 mA 10 mA 12 mA (1)
4-108 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-93. Stratix II GX Maximum Output Clock Rate for Dedicated Clock Pins (Part 3 of 4) I/O Standard
1.8-V HSTL Class II
Drive Strength
16 mA 18 mA 20 mA (1)
-3 Speed Grade
500 550 550 350 500 700 700 700 600 650 700 1000 1000 400 400 350 400 400 200 350 450 500 650 200 400 450 550 300 500 650 700 700
-4 Speed Grade
500 500 550 300 500 650 700 700 600 600 650 790 790 300 400 350 350 400 150 250 300 400 550 200 350 400 500 300 450 600 650 700
-5 Speed Grade
450 500 550 300 450 600 650 700 550 600 600 670 670 300 350 300 350 350 150 200 300 400 400 150 350 400 450 300 450 600 600 650
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz
1.5-V HSTL Class I
4 mA 6 mA 8 mA 10 mA 12 mA (1)
1.5-V HSTL Class II
16 mA 18 mA 20 mA (1)
PCI PCI-X Differential SSTL-2 Class I Differential SSTL-2 Class II
8 mA 12 mA 16 mA 20 mA 24 mA
Differential SSTL-18 Class I
4 mA 6 mA 8 mA 10 mA 12 mA
Differential SSTL-18 Class II
8 mA 16 mA 18 mA 20 mA
1.8-V differential Class I
4 mA 6 mA 8 mA 10 mA 12 mA
Altera Corporation October 2007
4-109 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-93. Stratix II GX Maximum Output Clock Rate for Dedicated Clock Pins (Part 4 of 4) I/O Standard
1.8-V differential Class II
Drive Strength
16 mA 18 mA 20 mA
-3 Speed Grade
500 550 550 350 500 700 700 700 600 650 700 300 450
-4 Speed Grade
500 500 550 300 500 650 700 700 600 600 650 250 400
-5 Speed Grade
450 500 550 300 450 600 650 700 550 600 600 125 300
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz
1.5-V differential Class I
4 mA 6 mA 8 mA 10 mA 12 mA
1.5-V differential Class II
16 mA 18 mA 20 mA
HyperTransport LVPECL Note to Table 4-93:
(1)
-
This is the default setting in Quartus II software.
Table 4-94 shows the maximum output clock toggle rate for Stratix II GX device series-terminated column pins.
Table 4-94. Stratix II GX Maximum Output Clock Rate for Column Pins (Series Termination) (Part 1 of 2) I/O Standard
LVTTL
Drive Strength
OCT_25_OHMS OCT_50_OHMS
-3 Speed Grade
400 400 350 350 350 350 700 700 550 600 600
-4 Speed Grade
400 400 350 350 350 350 550 550 450 500 550
-5 Speed Grade
350 350 300 300 300 300 450 450 400 500 500
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz
LVCMOS
OCT_25_OHMS OCT_50_OHMS
2.5 V
OCT_25_OHMS OCT_50_OHMS
1.8 V
OCT_25_OHMS OCT_50_OHMS
1.5 V SSTL-2 Class I SSTL-2 Class II
OCT_50_OHMS OCT_50_OHMS OCT_25_OHMS
4-110 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-94. Stratix II GX Maximum Output Clock Rate for Column Pins (Series Termination) (Part 2 of 2) I/O Standard Drive Strength -3 Speed Grade
560 550 600 650 500 600 600 560 550 650 500 600
-4 Speed Grade
400 500 550 600 500 500 550 400 500 600 500 550
-5 Speed Grade
350 450 500 600 450 500 500 350 450 600 450 500
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz
SSTL-18 Class I OCT_50_OHMS SSTL-18 Class II OCT_25_OHMS 1.5-V HSTL Class I 1.8-V HSTL Class I 1.8-V HSTL Class II Differential SSTL-2 Class I Differential SSTL-2 Class II OCT_50_OHMS OCT_50_OHMS OCT_25_OHMS OCT_50_OHMS OCT_25_OHMS
Differential OCT_50_OHMS SSTL-18 Class I Differential OCT_25_OHMS SSTL-18 Class II 1.8-V differential HSTL Class I 1.8-V differential HSTL Class II 1.5-V differential HSTL Class I OCT_50_OHMS OCT_25_OHMS OCT_50_OHMS
Table 4-95 shows the maximum output clock toggle rate for Stratix II GX device series-terminated row pins.
Table 4-95. Stratix II Maximum Output Clock Rate for Row Pins (Series Termination) (Part 1 of 2) I/O Standard
LVTTL
Drive Strength
OCT_25_OHMS OCT_50_OHMS
-3 Speed Grade
400 400 350 350 350 350 700 550
-4 Speed Grade
400 400 350 350 350 350 550 450
-5 Speed Grade
350 350 300 300 300 300 450 400
Unit
MHz MHz MHz MHz MHz MHz MHz MHz
LVCMOS
OCT_25_OHMS OCT_50_OHMS
2.5 V
OCT_25_OHMS OCT_50_OHMS
1.8 V 1.5 V
OCT_50_OHMS OCT_50_OHMS
Altera Corporation October 2007
4-111 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-95. Stratix II Maximum Output Clock Rate for Row Pins (Series Termination) (Part 2 of 2) I/O Standard
SSTL-2 Class I SSTL-2 Class II
Drive Strength
OCT_50_OHMS OCT_25_OHMS
-3 Speed Grade
600 600 590 600 650 600 600 590 650 600
-4 Speed Grade
500 550 400 550 600 500 550 400 600 550
-5 Speed Grade
500 500 350 500 600 500 500 350 600 500
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz
SSTL-18 Class I OCT_50_OHMS 1.5-V HSTL Class I 1.8-V HSTL Class I Differential SSTL-2 Class I Differential SSTL-2 Class II OCT_50_OHMS OCT_50_OHMS OCT_50_OHMS OCT_25_OHMS
Differential OCT_50_OHMS SSTL-18 Class I Differential OCT_50_OHMS HSTL-18 Class I Differential OCT_50_OHMS HSTL-15 Class I
Table 4-96 shows the maximum output clock toggle rate for Stratix II GX device series-terminated dedicated clock pins.
Table 4-96. Stratix II GX Maximum Output Clock Rate for Dedicated Clock Pins (Series Termination) (Part 1 of 2) I/O Standard
LVTTL
Drive Strength
OCT_25_OHMS OCT_50_OHMS
-3 Speed Grade
400 400 350 350 350 350 700 700 550 600 600 450
-4 Speed Grade
400 400 350 350 350 350 550 550 450 500 550 400
-5 Speed Grade
350 350 300 300 300 300 450 450 400 500 500 350
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz
LVCMOS
OCT_25_OHMS OCT_50_OHMS
2.5 V
OCT_25_OHMS OCT_50_OHMS
1.8 V
OCT_25_OHMS OCT_50_OHMS
1.5 V SSTL-2 Class I SSTL-2 Class II
OCT_50_OHMS OCT_50_OHMS OCT_25_OHMS
SSTL-18 Class I OCT_50_OHMS
4-112 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-96. Stratix II GX Maximum Output Clock Rate for Dedicated Clock Pins (Series Termination) (Part 2 of 2) I/O Standard
1.5-V HSTL Class I 1.8-V HSTL Class I 1.8-V HSTL Class II DIfferential SSTL-2 Class I DIfferential SSTL-2 Class II
Drive Strength
OCT_50_OHMS OCT_50_OHMS OCT_25_OHMS OCT_50_OHMS OCT_25_OHMS
-3 Speed Grade
550 600 650 500 600 600 560 550 650 500 600
-4 Speed Grade
500 550 600 500 500 550 400 500 600 500 550
-5 Speed Grade
450 500 600 450 500 500 350 450 600 450 500
Unit
MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz MHz
SSTL-18 Class II OCT_25_OHMS
DIfferential OCT_50_OHMS SSTL-18 Class I DIfferential OCT_25_OHMS SSTL-18 Class II 1.8-V differential HSTL Class I 1.8-V differential HSTL Class II 1.5-V differential HSTL Class I OCT_50_OHMS OCT_25_OHMS OCT_50_OHMS
Table 4-97 specifies the derating factors for the output clock toggle rate for a non 0 pF load.
Table 4-97. Maximum Output Clock Toggle Rate Derating Factors (Part 1 of 5) Maximum Output Clock Toggle Rate Derating Factors (ps/pF) I/O Standard Drive Strength Column I/O Pins -3
3.3-V LVTTL 4 mA 8 mA 12 mA 16 mA 20 mA 24 mA 478 260 213 136 138 134
Row I/O Pins -3
478 260 213 -
Dedicated Clock Outputs -5
510 333 247 -
-4
510 333 247 197 187 177
-5
510 333 247 197 187 177
-4
510 333 247 -
-3
466 291 211 166 154 143
-4
510 333 247 197 187 177
-5
510 333 247 197 187 177
Altera Corporation October 2007
4-113 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-97. Maximum Output Clock Toggle Rate Derating Factors (Part 2 of 5) Maximum Output Clock Toggle Rate Derating Factors (ps/pF) I/O Standard Drive Strength Column I/O Pins -3
3.3-V LVCMOS 4 mA 8 mA 12 mA 16 mA 20 mA 24 mA 2.5-V LVTTL/ LVCMOS 4 mA 8 mA 12 mA 16 mA 1.8-V LVTTL/ LVCMOS 2 mA 4 mA 6 mA 8 mA 10 mA 12 mA 1.5-V LVTTL/ LVCMOS 2 mA 4 mA 6 mA 8 mA SSTL-2 Class I 8 mA 12 mA SSTL-2 Class II 16 mA 20 mA 24 mA SSTL-18 Class I 4 mA 6 mA 8 mA 10 mA 12 mA 377 206 141 108 83 65 387 163 142 120 951 405 261 223 194 174 652 333 182 135 364 163 118 99 91 458 305 225 167 129
Row I/O Pins -3
377 206 387 163 142 951 405 261 223 652 333 364 163 118 458 305 225 167 -
Dedicated Clock Outputs -5
391 212 427 224 203 -
-4
391 212 145 111 88 72 427 224 203 182 1,421 516 325 274 236 209 963 347 247 194 680 207 147 122 116 570 380 282 220 175
-5
391 212 145 111 88 72 427 224 203 182 1,421 516 325 274 236 209 963 347 247 194 680 207 147 122 116 570 380 282 220 175
-4
391 212 427 224 203 1,421 516 325 274 963 347 680 207 147 570 380 282 220 -
-3
377 178 115 86 79 74 391 170 152 134 904 393 253 224 199 180 618 270 198 155 350 188 94 87 85 505 336 248 190 148
-4
391 212 145 111 88 72 427 224 203 182 1,421 516 325 274 236 209 963 347 247 194 680 207 147 122 116 570 380 282 220 175
-5
391 212 145 111 88 72 427 224 203 182 1,421 516 325 274 236 209 963 347 247 194 680 207 147 122 116 570 380 282 220 175
1,421 516 325 274 963 347 680 207 147 570 380 282 220 -
4-114 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-97. Maximum Output Clock Toggle Rate Derating Factors (Part 3 of 5) Maximum Output Clock Toggle Rate Derating Factors (ps/pF) I/O Standard Drive Strength Column I/O Pins -3
SSTL-18 Class II 8 mA 16 mA 18 mA 20 mA 2.5-V SSTL-2 Class I 2.5-V SSTL-2 Class II 8 mA 12 mA 16 mA 20 mA 24 mA 1.8-V SSTL-18 Class I 4 mA 6 mA 8 mA 10 mA 12 mA 1.8-V SSTL-18 Class II 8 mA 16 mA 18 mA 20 mA 1.8-V HSTL Class I 4 mA 6 mA 8 mA 10 mA 12 mA 1.8-V HSTL Class II 16 mA 18 mA 20 mA 1.5-V HSTL Class I 4 mA 6 mA 8 mA 10 mA 12 mA 173 150 120 109 364 163 118 99 91 458 305 225 167 129 173 150 120 109 245 164 123 110 97 101 98 93 168 112 84 87 86
Row I/O Pins -3
364 163 118 458 305 225 167 245 164 123 110 97 168 112 84 -
Dedicated Clock Outputs -5
680 207 147 570 380 282 220 282 188 140 124 110 196 131 99 -
-4
206 160 130 127 680 207 147 122 116 570 380 282 220 175 206 160 130 127 282 188 140 124 110 104 102 99 196 131 99 98 98
-5
206 160 130 127 680 207 147 122 116 570 380 282 220 175 206 160 130 127 282 188 140 124 110 104 102 99 196 131 99 98 98
-4
680 207 147 570 380 282 220 282 188 140 124 110 196 131 99 -
-3
155 140 110 94 350 188 94 87 85 505 336 248 190 148 155 140 110 94 229 153 114 108 104 99 93 88 188 125 95 90 87
-4
206 160 130 127 680 207 147 122 116 570 380 282 220 175 206 160 130 127 282 188 140 124 110 104 102 99 196 131 99 98 98
-5
206 160 130 127 680 207 147 122 116 570 380 282 220 175 206 160 130 127 282 188 140 124 110 104 102 99 196 131 99 98 98
Altera Corporation October 2007
4-115 Stratix II GX Device Handbook, Volume 1
Timing Model
Table 4-97. Maximum Output Clock Toggle Rate Derating Factors (Part 4 of 5) Maximum Output Clock Toggle Rate Derating Factors (ps/pF) I/O Standard Drive Strength Column I/O Pins -3
1.5-V HSTL Class II 16 mA 18 mA 20 mA 2.5-V differential SSTL Class II (3) 8 mA 12 mA 16 mA 20 mA 24 mA 1.8-V differential SSTL Class I (3) 4 mA 6 mA 8 mA 10 mA 12 mA 1.8-V differential SSTL Class II (3) 8 mA 16 mA 18 mA 20 mA 1.8-V differential HSTL Class I (3) 4 mA 6 mA 8 mA 10 mA 12 mA 1.8-V differential HSTL Class II (3) 16 mA 18 mA 20 mA 1.5-V differential HSTL Class I (3) 4 mA 6 mA 8 mA 10 mA 12 mA 95 95 94 364 163 118 99 91 458 305 225 167 129 173 150 120 109 245 164 123 110 97 101 98 93 168 112 84 87 86
Row I/O Pins -3
-
Dedicated Clock Outputs -5
-
-4
101 100 101 680 207 147 122 116 570 380 282 220 175 206 160 130 127 282 188 140 124 110 104 102 99 196 131 99 98 98
-5
101 100 101 680 207 147 122 116 570 380 282 220 175 206 160 130 127 282 188 140 124 110 104 102 99 196 131 99 98 98
-4
-
-3
96 101 104 350 188 94 87 85 505 336 248 190 148 155 140 110 94 229 153 114 108 104 99 93 88 188 125 95 90 87
-4
101 100 101 680 207 147 122 116 570 380 282 220 175 206 160 130 127 282 188 140 124 110 104 102 99 196 131 99 98 98
-5
101 100 101 680 207 147 122 116 570 380 282 220 175 206 160 130 127 282 188 140 124 110 104 102 99 196 131 99 98 98
4-116 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-97. Maximum Output Clock Toggle Rate Derating Factors (Part 5 of 5) Maximum Output Clock Toggle Rate Derating Factors (ps/pF) I/O Standard Drive Strength Column I/O Pins -3
1.5-V differential HSTL Class II (3) 16 mA 18 mA 20 mA 3.3-V PCI 3.3-V PCI-X LVDS LVPECL (4) 3.3-V LVTTL 2.5-V LVTTL 1.8-V LVTTL 3.3-V LVCMOS 1.5-V LVCMOS SSTL-2 Class I SSTL-2 Class II SSTL-18 Class I SSTL-18 Class II 1.2-V HSTL (2) Notes to Table 4-97:
(1) For LVDS output on row I/O pins the toggle rate derating factors apply to loads larger than 5 pF. In the derating calculation, subtract 5 pF from the intended load value in pF for the correct result. For a load less than or equal to 5 pF, refer to Tables 4-91 through 4-95 for output toggle rates. 1.2-V HSTL is only supported on column I/O pins on -3 devices. Differential HSTL and SSTL is only supported on column clock and DQS outputs. LVPECL is only supported on column clock outputs.
Row I/O Pins -3
155 (1) 133 207 151 300 157 121 56 100 -
Dedicated Clock Outputs -5
155 (1) 152 274 165 316 171 134 101 123 -
-4
101 100 101 177 177 152 274 165 316 171 134 101 123 110 -
-5
101 100 101 177 177 152 274 165 316 171 134 101 123 110 -
-4
155 (1) 152 274 165 316 171 134 101 123 -
-3
96 101 104 143 143 134 134 147 235 153 263 174 77 58 106 59 95
-4
101 100 101 177 177 134 134 152 274 165 316 171 134 101 123 110 -
-5
101 100 101 177 177 134 134 152 274 165 316 171 134 101 123 110 -
95 95 94 134 134 -
OCT 50 OCT 50 OCT 50 OCT 50 OCT 50 OCT 50 OCT 25 OCT 50 OCT 25 OCT 50
133 207 151 300 157 121 56 100 61 95
(2) (3) (4)
Altera Corporation October 2007
4-117 Stratix II GX Device Handbook, Volume 1
Duty Cycle Distortion
Duty Cycle Distortion
Duty cycle distortion (DCD) describes how much the falling edge of a clock is off from its ideal position. The ideal position is when both the clock high time (CLKH) and the clock low time (CLKL) equal half of the clock period (T), as shown in Figure 4-11. DCD is the deviation of the non-ideal falling edge from the ideal falling edge, such as D1 for the falling edge A and D2 for the falling edge B (see Figure 4-11). The maximum DCD for a clock is the larger value of D1 and D2. Figure 4-11. Duty Cycle Distortion
Ideal Falling Edge CLKH = T/2 D1 D2 CLKL = T/2
Falling Edge A
Falling Edge B
Clock Period (T)
DCD expressed in absolution derivation, for example, D1 or D2 in Figure 4-11, is clock-period independent. DCD can also be expressed as a percentage, and the percentage number is clock-period dependent. DCD as a percentage is defined as: (T/2 - D1) / T (the low percentage boundary) (T/2 + D2) / T (the high percentage boundary)
DCD Measurement Techniques
DCD is measured at an FPGA output pin driven by registers inside the corresponding I/O element (IOE) block. When the output is a single data rate signal (non-DDIO), only one edge of the register input clock (positive or negative) triggers output transitions (Figure 4-12). Therefore, any DCD present on the input clock signal or caused by the clock input buffer or different input I/O standard does not transfer to the output signal.
4-118 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Figure 4-12. DCD Measurement Technique for Non-DDIO (Single-Data Rate) Outputs
However, when the output is a double data rate input/output (DDIO) signal, both edges of the input clock signal (positive and negative) trigger output transitions (Figure 4-13). Therefore, any distortion on the input clock and the input clock buffer affect the output DCD. Figure 4-13. DCD Measurement Technique for DDIO (Double-Data Rate) Outputs
When an FPGA PLL generates the internal clock, the PLL output clocks the IOE block. As the PLL only monitors the positive edge of the reference clock input and internally re-creates the output clock signal, any DCD present on the reference clock is filtered out. Therefore, the DCD for a DDIO output with PLL in the clock path is better than the DCD for a DDIO output without PLL in the clock path.
Altera Corporation October 2007
4-119 Stratix II GX Device Handbook, Volume 1
Duty Cycle Distortion
Tables 4-98 through 4-105 show the maximum DCD in absolution derivation for different I/O standards on Stratix II GX devices. Examples are also provided that show how to calculate DCD as a percentage.
Table 4-98. Maximum DCD for Non-DDIO Output on Row I/O Pins Maximum DCD (ps) for Non-DDIO Output Row I/O Output Standard -3 Devices
3.3-V LVTTTL 3.3-V LVCMOS 2.5 V 1.8 V 1.5-V LVCMOS SSTL-2 Class I SSTL-2 Class II SSTL-18 Class I 1.8-V HSTL Class I 1.5-V HSTL Class I LVDS 245 125 105 180 165 115 95 55 80 85 55
-4 and -5 Devices
275 155 135 180 195 145 125 85 100 115 80
Unit
ps ps ps ps ps ps ps ps ps ps ps
Here is an example for calculating the DCD as a percentage for a non-DDIO output on a row I/O on a -3 device: If the non-DDIO output I/O standard is SSTL-2 Class II, the maximum DCD is 95 ps (see Table 4-99). If the clock frequency is 267 MHz, the clock period T is: T = 1/ f = 1 / 267 MHz = 3.745 ns = 3,745 ps To calculate the DCD as a percentage: (T/2 - DCD) / T = (3,745 ps/2 - 95 ps) / 3,745 ps = 47.5% (for low boundary) (T/2 + DCD) / T = (3,745 ps/2 + 95 ps) / 3,745 ps = 52.5% (for high boundary)
4-120 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Therefore, the DCD percentage for the output clock at 267 MHz is from 47.5% to 52.5%.
Table 4-99. Maximum DCD for Non-DDIO Output on Column I/O Pins Column I/O Output Standard I/O Standard
3.3-V LVTTL 3.3-V LVCMOS 2.5 V 1.8 V 1.5-V LVCMOS SSTL-2 Class I SSTL-2 Class II SSTL-18 Class I SSTL-18 Class II 1.8-V HSTL Class I 1.8-V HSTL Class II 1.5-V HSTL Class I 1.5-V HSTL Class II 1.2-V HSTL-12 LVPECL
Maximum DCD (ps) for Non-DDIO Output -3 Devices
190 140 125 80 185 105 100 90 70 80 80 85 50 170 55
Unit
ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps
-4 and -5 Devices
220 175 155 110 215 135 130 115 100 110 110 115 80 200 80
Altera Corporation October 2007
4-121 Stratix II GX Device Handbook, Volume 1
Duty Cycle Distortion
Table 4-100. Maximum DCD for DDIO Output on Row I/O Pins Without PLL in the Clock Path for -3 Devices Note (1) Input I/O Standard (No PLL in Clock Path) Maximum DCD (ps) for Row DDIO Output I/O Standard
3.3-V LVTTL 3.3-V LVCMOS 2.5 V 1.8 V 1.5-V LVCMOS SSTL-2 Class I SSTL-2 Class II SSTL-18 Class I 1.8-V HSTL Class I 1.5-V HSTL Class I LVDS Note to Table 4-100:
(1) The information in Table 4-100 assumes the input clock has zero DCD.
TTL/CMOS 3.3 and 2.5 V
260 210 195 150 255 175 170 155 150 150 180
SSTL-2 2.5 V
145 100 85 85 140 65 60 55 60 55 180
SSTL/HSTL 1.8 and 1.5 V
145 100 85 85 140 65 60 50 60 55 180
LVDS 3.3 V
110 65 75 120 105 70 75 90 95 90 180
Unit
1.8 and 1.5 V
380 330 315 265 370 295 290 275 270 270 180
ps ps ps ps ps ps ps ps ps ps ps
Here is an example for calculating the DCD in percentage for a DDIO output on a row I/O on a -3 device: If the input I/O standard is 2.5-V SSTL-2 and the DDIO output I/O standard is SSTL-2 Class= II, the maximum DCD is 60 ps (see Table 4-100). If the clock frequency is 267 MHz, the clock period T is: T = 1/ f = 1 / 267 MHz = 3.745 ns = 3,745 ps Calculate the DCD as a percentage: (T/2 - DCD) / T = (3,745 ps/2 - 60 ps) / 3745 ps = 48.4% (for low boundary) (T/2 + DCD) / T = (3,745 ps/2 + 60 ps) / 3745 ps = 51.6% (for high boundary)
4-122 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Therefore, the DCD percentage for the output clock is from 48.4% to 51.6%.
Table 4-101. Maximum DCD for DDIO Output on Row I/O Pins Without PLL in the Clock Path for -4 and -5 Devices Note (1) Maximum DCD (ps) for Row DDIO Output I/O Standard
3.3-V LVTTL 3.3-V LVCMOS 2.5 V 1.8 V 1.5-V LVCMOS SSTL-2 Class I SSTL-2 Class II SSTL-18 Class I 1.8-V HSTL Class I 1.5-V HSTL Class I LVDS Note to Table 4-101:
(1) Table 4-101 assumes the input clock has zero DCD.
Input I/O Standard (No PLL in the Clock Path) TTL/CMOS 3.3/2.5V
440 390 375 325 430 355 350 335 330 330 180
SSTL-2 2.5V
170 120 105 90 160 85 80 65 60 60 180
SSTL/HSTL 1.8/1.5V
160 110 95 100 155 75 70 65 70 70 180
LVDS 3.3V
105 75 90 135 100 85 90 105 110 105 180
Unit
1.8/1.5V
495 450 430 385 490 410 405 390 385 390 180
ps ps ps ps ps ps ps ps ps ps ps
Table 4-102. Maximum DCD for DDIO Output on Column I/O Pins Without PLL in the Clock Path for -3 Devices (Part 1 of 2) Note (1) Maximum DCD (ps) for DDIO Column Output I/O Standard
3.3-V LVTTL 3.3-V LVCMOS 2.5 V 1.8 V 1.5-V LVCMOS SSTL-2 Class I SSTL-2 Class II SSTL-18 Class I
Input IO Standard (No PLL in the Clock Path) TTL/CMOS 3.3/2.5V
260 210 195 150 255 175 170 155
SSTL-2 2.5V
145 100 85 85 140 65 60 55
SSTL/HSTL 1.8/1.5V
145 100 85 85 140 65 60 50
HSTL12 1.2V
145 100 85 85 140 65 60 50
Unit
1.8/1.5V
380 330 315 265 370 295 290 275
ps ps ps ps ps ps ps ps
Altera Corporation October 2007
4-123 Stratix II GX Device Handbook, Volume 1
Duty Cycle Distortion
Table 4-102. Maximum DCD for DDIO Output on Column I/O Pins Without PLL in the Clock Path for -3 Devices (Part 2 of 2) Note (1) Maximum DCD (ps) for DDIO Column Output I/O Standard
SSTL-18 Class II 1.8-V HSTL Class I 1.8-V HSTL Class II 1.5-V HSTL Class I 1.5-V HSTL Class II 1.2-V HSTL LVPECL Note to Table 4-102:
(1) Table 4-102 assumes the input clock has zero DCD.
Input IO Standard (No PLL in the Clock Path) TTL/CMOS 3.3/2.5V
140 150 150 150 125 240 180
SSTL-2 2.5V
70 60 60 55 85 155 180
SSTL/HSTL 1.8/1.5V
70 60 60 55 85 155 180
HSTL12 1.2V
70 60 60 55 85 155 180
Unit
1.8/1.5V
260 270 270 270 240 360 180
ps ps ps ps ps ps ps
Table 4-103. Maximum DCD for DDIO Output on Column I/O Pins Without PLL in the Clock Path for -4 and -5 Devices Note (1) Maximum DCD (ps) for DDIO Column Output I/O Standard
3.3-V LVTTL 3.3-V LVCMOS 2.5 V 1.8 V 1.5-V LVCMOS SSTL-2 Class I SSTL-2 Class II SSTL-18 Class I SSTL-18 Class II 1.8-V HSTL Class I 1.8-V HSTL Class II 1.5-V HSTL Class I 1.5-V HSTL Class II LVPECL Note to Table 4-103:
(1) Table 4-103 assumes the input clock has zero DCD.
Input IO Standard (No PLL in the Clock Path) TTL/CMOS 3.3/2.5V
440 390 375 325 430 355 350 335 320 330 330 330 330 180
SSTL-2 2.5V
170 120 105 90 160 85 80 65 70 60 60 60 90 180
SSTL/HSTL 1.8/1.5V
160 110 95 100 155 75 70 65 80 70 70 70 100 180
Unit
1.8/1.5V
495 450 430 385 490 410 405 390 375 385 385 390 360 180
ps ps ps ps ps ps ps ps ps ps ps ps ps ps
4-124 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-104. Maximum DCD for DDIO Output on Row I/O Pins With PLL in the Clock Path Maximum DCD (ps) for Row DDIO Output I/O Standard
3.3-V LVTTL 3.3-V LVCMOS 2.5V 1.8V 1.5-V LVCMOS SSTL-2 Class I SSTL-2 Class II SSTL-18 Class I 1.8-V HSTL Class I 1.5-V HSTL Class I LVDS
Stratix II GX Devices (PLL Output Feeding DDIO) -3 Device
110 65 75 85 105 65 60 50 50 55 180
Unit
ps ps ps ps ps ps ps ps ps ps ps
-4 and -5 Device
105 75 90 100 100 75 70 65 70 70 180
Table 4-105. Maximum DCD for DDIO Output on Column I/O Pins With PLL in the Clock Path (Part 1 of 2) Maximum DCD (ps) for Column DDIO Output I/O Standard
3.3-V LVTTL 3.3-V LVCMOS 2.5V 1.8V 1.5-V LVCMOS SSTL-2 Class I SSTL-2 Class II SSTL-18 Class I SSTL-18 Class II 1.8-V HSTL Class I 1.8-V HSTL Class II 1.5-V HSTL Class I 1.5-V HSTL Class II
Stratix-II Devices (PLL Output Feeding DDIO) -3 Device
145 100 85 85 140 65 60 50 70 60 60 55 85
Unit
ps ps ps ps ps ps ps ps ps ps ps ps ps
-4 and -5 Device
160 110 95 100 155 75 70 65 80 70 70 70 100
Altera Corporation October 2007
4-125 Stratix II GX Device Handbook, Volume 1
High-Speed I/O Specifications
Table 4-105. Maximum DCD for DDIO Output on Column I/O Pins With PLL in the Clock Path (Part 2 of 2) Maximum DCD (ps) for Column DDIO Output I/O Standard
1.2-V HSTL LVPECL
Stratix-II Devices (PLL Output Feeding DDIO) -3 Device
155 180
Unit
ps ps
-4 and -5 Device
155 180
High-Speed I/O Specifications
Table 4-106 provides high-speed timing specifications definitions.
Table 4-106. High-Speed Timing Specifications and Definitions High-Speed Timing Specifications
tC fH S C L K J W tR I S E tF A L L Timing unit interval (TUI)
Definitions
High-speed receiver/transmitter input and output clock period. High-speed receiver/transmitter input and output clock frequency. Deserialization factor (width of parallel data bus). PLL multiplication factor. Low-to-high transmission time. High-to-low transmission time. The timing budget allowed for skew, propagation delays, and data sampling window. (TUI = 1/(Receiver Input Clock Frequency x Multiplication Factor) = tC /w). Fast PLL input clock frequency Maximum/minimum LVDS data transfer rate (fH S D R = 1/TUI), non-DPA. Maximum/minimum LVDS data transfer rate (fH S D R D PA = 1/TUI), DPA. The timing difference between the fastest and the slowest output edges including tCO variation and clock skew across channels driven by the same fast PLL. The clock is included in the TCCS measurement. The period of time during which the data must be valid in order to capture it correctly. The setup and hold times determine the ideal strobe position within the sampling window. Peak-to-peak input jitter on high-speed PLLs. Peak-to-peak output jitter on high-speed PLLs. Duty cycle on high-speed transmitter output clock. Lock time for high-speed transmitter and receiver PLLs.
fIN fH S D R fH S D R D P A Channel-to-channel skew (TCCS)
Sampling window (SW)
Input jitter Output jitter tDUTY tL O C K
4-126 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-107 shows the high-speed I/O timing specifications for -3 speed grade Stratix II GX devices.
Table 4-107. High-Speed I/O Specifications for -3 Speed Grade Symbol
fI N = f H S D R / W
Notes (1), (2) -3 Speed Grade Unit Min Typ Max
520 500 717 1,040 760 500 1,040 200 190 MHz MHz MHz Mbps Mbps Mbps Mbps ps ps ps ps ps % UI UI Number of repetitions 256 256 256 256 256 16 16 150 150 (4) (4) 150 330
Conditions
W = 2 to 32 (LVDS, HyperTransport technology) (3) W = 1 (SERDES bypass, LVDS only) W = 1 (SERDES used, LVDS only)
fH S D R (data rate)
J = 4 to 10 (LVDS, HyperTransport technology) J = 2 (LVDS, HyperTransport technology) J = 1 (LVDS only)
fH S D R D PA (DPA data rate) J = 4 to 10 (LVDS, HyperTransport technology) TCCS SW Output jitter Output tR I S E Output tFA L L tDUTY DPA run length DPA jitter tolerance (5) DPA lock time Data channel peak-to-peak jitter Standard SPI-4 Parallel Rapid I/O Training Pattern 0000000000 1111111111 00001111 10010000 Miscellaneous 10101010 01010101 Notes to Table 4-107:
(1) (2) (3) (4)
All differential standards All differential standards
All differential I/O standards All differential I/O standards 45 50
160 180 55 6,400 0.44 Transition Density 10% 25% 50% 100%
(5)
When J = 4 to 10, the SERDES block is used. When J = 1 or 2, the SERDES block is bypassed. The input clock frequency and the W factor must satisfy the following fast PLL VCO specification: 150 input clock frequency x W 1,040. The minimum specification is dependent on the clock source (fast PLL, enhanced PLL, clock pin, and so on) and the clock routing resource (global, regional, or local) utilized. The I/O differential buffer and input register do not have a minimum toggle rate. For setup details, refer to the characterization report.
Altera Corporation October 2007
4-127 Stratix II GX Device Handbook, Volume 1
High-Speed I/O Specifications
Table 4-108 shows the high-speed I/O timing specifications for -4 speed grade Stratix II GX devices.
Table 4-108. High-Speed I/O Specifications for -4 Speed Grade Symbol
fI N = f H S D R / W
Notes (1), (2) -4 Speed Grade Unit Min Typ Max
520 500 717 1,040 760 500 1,040 200 190 MHz MHz MHz Mbps Mbps Mbps Mbps ps ps ps ps ps % UI UI Number of repetitions 256 256 256 256 256 16 16 150 150 (4) (4) 150 330
Conditions
W = 2 to 32 (LVDS, HyperTransport technology) (3) W = 1 (SERDES bypass, LVDS only) W = 1 (SERDES used, LVDS only)
fH S D R (data rate)
J = 4 to 10 (LVDS, HyperTransport technology) J = 2 (LVDS, HyperTransport technology) J = 1 (LVDS only)
fH S D R D PA (DPA data rate) J = 4 to 10 (LVDS, HyperTransport technology) TCCS SW Output jitter Output tR I S E Output tFA L L tDUTY DPA run length DPA jitter tolerance DPA lock time Data channel peak-to-peak jitter Standard SPI-4 Parallel Rapid I/O Training Pattern 0000000000 1111111111 00001111 10010000 Miscellaneous 10101010 01010101 Notes to Table 4-108:
(1) (2) (3) (4)
All differential standards All differential standards
All differential I/O standards All differential I/O standards 45 50
160 180 55 6,400 0.44 Transition Density 10% 25% 50% 100%
When J = 4 to 10, the SERDES block is used. When J = 1 or 2, the SERDES block is bypassed. The input clock frequency and the W factor must satisfy the following fast PLL VCO specification: 150 input clock frequency x W 1,040. The minimum specification is dependent on the clock source (fast PLL, enhanced PLL, clock pin, and so on) and the clock routing resource (global, regional, or local) utilized. The I/O differential buffer and input register do not have a minimum toggle rate.
4-128 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-109 shows the high-speed I/O timing specifications for -5 speed grade Stratix II GX devices.
Table 4-109. High-Speed I/O Specifications for -5 Speed Grade Symbol
fI N = f H S D R / W
Notes (1), (2) -5 Speed Grade Unit Min Typ Max
420 500 640 840 700 500 840 200 190 MHz MHz MHz Mbps Mbps Mbps Mbps ps ps ps ps ps % UI UI Number of repetitions 256 256 256 256 256 16 16 150 150 (4) (4) 150 440
Conditions
W = 2 to 32 (LVDS, HyperTransport technology) (3) W = 1 (SERDES bypass, LVDS only) W = 1 (SERDES used, LVDS only)
fH S D R (data rate)
J = 4 to 10 (LVDS, HyperTransport technology) J = 2 (LVDS, HyperTransport technology) J = 1 (LVDS only)
fH S D R D PA (DPA data rate) J = 4 to 10 (LVDS, HyperTransport technology) TCCS SW Output jitter Output tR I S E Output tFA L L tDUTY DPA run length DPA jitter tolerance DPA lock time Data channel peak-to-peak jitter Standard SPI-4 Parallel Rapid I/O Training Pattern 0000000000 1111111111 00001111 10010000 Miscellaneous 10101010 01010101 Notes to Table 4-109:
(1) (2) (3) (4)
All differential I/O standards All differential I/O standards
All differential I/O standards All differential I/O standards 45 50
290 290 55 6,400 0.44 Transition Density 10% 25% 50% 100%
When J = 4 to 10, the SERDES block is used. When J = 1 or 2, the SERDES block is bypassed. The input clock frequency and the W factor must satisfy the following fast PLL VCO specification: 150 input clock frequency x W 840. The minimum specification is dependent on the clock source (fast PLL, enhanced PLL, clock pin, and so on) and the clock routing resource (global, regional, or local) utilized. The I/O differential buffer and input register do not have a minimum toggle rate.
Altera Corporation October 2007
4-129 Stratix II GX Device Handbook, Volume 1
PLL Timing Specifications
PLL Timing Specifications
Tables 4-110 and 4-111 describe the Stratix II GX PLL specifications when operating in both the commercial junction temperature range (0 to 85 C) and the industrial junction temperature range (-40 to 100 C), except for the clock switchover and phase-shift stepping features. These two features are only supported from the 0 to 100 C junction temperature range.
Table 4-110. Enhanced PLL Specifications (Part 1 of 2) Name
fIN fINPFD fINDUTY fENDUTY tINJITTER
Description
Input clock frequency Input frequency to the PFD Input clock duty cycle External feedback input clock duty cycle Input or external feedback clock input jitter tolerance in terms of period jitter. Bandwidth 0.85 MHz Input or external feedback clock input jitter tolerance in terms of period jitter. Bandwidth > 0.85 MHz
Min
4 4 40 40
Typ
Max
500 420 60 60
Unit
MHz MHz % % ns (peakto-peak) ns (peakto-peak)
0.5
1.0
tOUTJITTER tFCOMP fOUT fSCANCLK tCONFIGEPLL fOUT_EXT tLOCK
Dedicated clock output period jitter External feedback compensation time Output frequency for internal global or regional clock Scanclk frequency Time required to reconfigure scan chains for EPLLs PLL external clock output frequency Time required for the PLL to lock from the time it is enabled or the end of device configuration Time required for the PLL to lock dynamically after automatic clock switchover between two identical clock frequencies Frequency range where the clock switchover performs properly PLL closed-loop bandwidth PLL VCO operating range for -3 and -4 speed grade devices PLL VCO operating range for -5 speed grade devices
50
100
250 10
ps (p-p) ns MHz MHz ns
1.5 (2)
550 100 174/fSCANCLK
1.5 (2) 0.03
(1) 1
MHz ms
tDLOCK
1
ms
fSWITCHOVER fCLBW fVCO
1.5 0.13 300 300
1 1.2
500 16.9 1,040 840
MHz MHz MHz MHz
4-130 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-110. Enhanced PLL Specifications (Part 2 of 2) Name
fSS % spread tP L L _ P S E R R tARESET tARESET_RECONFIG
Description
Spread-spectrum modulation frequency Percent down spread for a given clock frequency Accuracy of PLL phase shift Minimum pulse width on areset signal. Minimum pulse width on the areset signal when using PLL reconfiguration. Reset the PLL after scandone goes high. The time required for the wait after the reconfiguration is done and the areset is applied.
Min
100 0.4
Typ
Max
500
Unit
kHz % ps ns ns
0.5
0.6 30
10 500
tRECONFIGWAIT
2
us
Notes to Table 4-110:
(1) (2) This is limited by the I/O fMAX. See Tables 4-91 through 4-95 for the maximum. If the counter cascading feature of the PLL is utilized, there is no minimum output clock frequency.
Table 4-111. Fast PLL Specifications (Part 1 of 2) Name
fIN
Description
Input clock frequency (for -3 and -4 speed grade devices) Input clock frequency (for -5 speed grade devices)
Min
16 16 16 40
Typ
Max
717 640 500 60
Unit
MHz MHz MHz % ns (p-p) ns (p-p)
fINPFD fINDUTY tINJITTER
Input frequency to the PFD Input clock duty cycle Input clock jitter tolerance in terms of period jitter. Bandwidth 2 MHz Input clock jitter tolerance in terms of period jitter. Bandwidth > 0.2 MHz
0.5 1.0 300 300 150 150 4.6875 150 1,040 840 520 420 550 1,040
fVCO
Upper VCO frequency range for -3 and -4 speed grades Upper VCO frequency range for -5 speed grades Lower VCO frequency range for -3 and -4 speed grades Lower VCO frequency range for -5 speed grades
MHz MHz MHz MHz MHz MHz
fOUT
PLL output frequency to GCLK or RCLK PLL output frequency to LVDS or DPA clock
Altera Corporation October 2007
4-131 Stratix II GX Device Handbook, Volume 1
External Memory Interface Specifications
Table 4-111. Fast PLL Specifications (Part 2 of 2) Name
fOUT_EXT fOUTDUTY tCONFIGPLL fCLBW tLOCK
Description
PLL clock output frequency to regular I/O Duty cycle for external clock output Time required to reconfigure scan chains for fast PLLs PLL closed-loop bandwidth Time required for the PLL to lock from the time it is enabled or the end of the device configuration Accuracy of PLL phase shift Minimum pulse width on areset signal. Minimum pulse width on the areset signal when using PLL reconfiguration. Reset the PLL after scandone goes high.
Min
4.6875 45
Typ
Max
(1)
Unit
MHz % ns
50 75/fSCANCLK
55
1.16
5 0.03
28 1
MHz ms
tPLL_PSERR tARESET tARESET_RECONFIG
30 10 500
ps ns ns
Notes to Table 4-111:
(1) This is limited by the I/O fMAX. See Tables 4-91 through 4-95 for the maximum.
External Memory Interface Specifications
Tables 4-112 through 4-116 contain Stratix II GX device specifications for the dedicated circuitry used for interfacing with external memory devices.
Table 4-112. DLL Frequency Range Specifications Frequency Mode
0 1 2
Frequency Range (MHz)
100 to 175 150 to 230 200 to 350 (-3 speed grade) 200 to 310 (-4 and -5 speed grade) 240 to 400 (-3 speed grade) 240 to 350 (-4 and -5 speed grade)
Resolution (Degrees)
30 22.5 30 30 36 36
3
4-132 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-113. DQS Jitter Specifications for DLL-Delayed Clock (tDQS_JITTER) Note (1) Number of DQS Delay Buffer Stages (2)
1 2 3 4 Notes to Table 4-113:
(1) (2) Peak-to-peak period jitter on the phase-shifted DQS clock. For example, jitter on two delay stages under commercial conditions is 200 ps peak-to-peak or 100 ps. Delay stages used for requested DQS phase shift are reported in a project's Compilation Report in the Quartus II software.
Commercial (ps)
80 110 130 160
Industrial (ps)
110 130 180 210
Table 4-114. DQS Phase-Shift Error Specifications for DLL-Delayed Clock (tDQS_PSERR) Number of DQS Delay Buffer Stages (1) -3 Speed Grade (ps) -4 Speed Grade (ps) -5 Speed Grade (ps)
1 2 3 4 Note to Table 4-114:
(1) Delay stages used for request DQS phase shift are reported in a project's Compilation Report in the Quartus II software. For example, phase-shift error on two delay stages under -3 conditions is 50 ps peak-to-peak or 25 ps.
25 50 75 100
30 60 90 120
35 70 105 140
Table 4-115. DQS Bus Clock Skew Adder Specifications (tDQS_CLOCK_SKEW_ADDER) Mode
4 DQ per DQS 9 DQ per DQS 18 DQ per DQS 36 DQ per DQS Note to Table 4-115:
(1) This skew specification is the absolute maximum and minimum skew. For example, skew on a 40 DQ group is 40 ps or 20 ps.
DQS Clock Skew Adder (ps) (1)
40 70 75 95
Altera Corporation October 2007
4-133 Stratix II GX Device Handbook, Volume 1
JTAG Timing Specifications
Table 4-116. DQS Phase Offset Delay Per Stage (ps) Positive Offset Speed Grade Min
-3 -4 -5 Notes to Table 4-116:
(1) (2) (3)
Notes (1), (2), (3) Negative Offset Min
8 8 8
Max
15 15 16
Max
11 11 12
10 10 10
The delay settings are linear. The valid settings for phase offset are -32 to +31. The typical value equals the average of the minimum and maximum values.
JTAG Timing Specifications
Figure 4-14 shows the timing requirements for the JTAG signals
Figure 4-14. Stratix II GX JTAG Waveforms.
TMS
TDI t JCP t JCH TCK tJPZX TDO tJSSU Signal to be Captured Signal to be Driven tJSH t JPCO t JPXZ t JCL t JPSU t JPH
tJSZX
tJSCO
tJSXZ
4-134 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-117 shows the JTAG timing parameters and values for Stratix II GX devices.
Table 4-117. Stratix II GX JTAG Timing Parameters and Values Symbol
tJCP tJCH tJCL tJPSU tJPH tJPCO tJPZX tJPXZ tJSSU tJSH tJSCO tJSZX tJSXZ TCK clock period TCK clock high time TCK clock low time JTAG port setup time JTAG port hold time JTAG port clock to output JTAG port high impedance to valid output JTAG port valid output to high impedance Capture register setup time Capture register hold time Update register clock to output Update register high impedance to valid output Update register valid output to high impedance 4 5 12 12 12
Parameter
Min Max Unit
30 12 12 4 5 9 9 9 ns ns ns ns ns ns ns ns ns ns ns ns ns
Referenced Documents
This chapter references the following documents:

Operating Requirements for Altera Devices Data Sheet PowerPlay Power Analyzer chapter in volume 3 of the Quartus II Handbook. PowerPlay Early Power Estimator (EPE) and Power Analyzer Quartus II PowerPlay Analysis and Optimization Technology
Stratix II GX Architecture chapter in volume 1 of the Stratix II GX Device Handbook
Stratix II GX Transceiver Architecture Overview chapter in volume 2 of the Stratix II GX Device Handbook volume 2, Stratix II GX Device Handbook
Altera Corporation October 2007
4-135 Stratix II GX Device Handbook, Volume 1
Document Revision History
Document Revision History
Table 6-105 shows the revision history for this chapter.
Table 4-118. Document Revision History (Part 1 of 5) Date and Document Version
October 2007 v4.5 Updated: Table 4-3 Table 4-6 Table 4-16 Table 4-19 Table 4-20 Table 4-21 Table 4-22 Table 4-55 Table 4-106 Table 4-107 Table 4-108 Table 4-109 Table 4-112
Changes Made
Summary of Changes
Updated title only in Tables 4-88 and 4-89. Minor text edits.
4-136 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-118. Document Revision History (Part 2 of 5) Date and Document Version
August 2007 v4.4
Changes Made
Removed note "The data in this table is preliminary. Altera will provide a report upon completion of characterization of the Stratix II GX devices. Conditions for testing the silicon have not been determined." from each table. Removed note "The data in Tables xxx through xxx is preliminary. Altera will provide a report upon completion of characterization of the Stratix II GX devices. Conditions for testing the silicon have not been determined." in the clock timing parameters sections. Updated clock timing parameter Tables 4-63 through 4-78 (Table 4-75 was unchanged). Updated Table 4-21 and added new Table 4-22. Updated: Table 4-6 Table 4-16 Table 4-19 Table 4-49 Table 4-52 Table 4-107
Summary of Changes
Added note to Table 4-50. Added: Figure 4-3 Figure 4-4 Figure 4-5 Added the "Referenced Documents" section. May 2007 v4.3 Changed 1.875 KHz to 1.875 MHz in Table 4-19, XAUI Receiver Jitter Tolerance section.
Altera Corporation October 2007
4-137 Stratix II GX Device Handbook, Volume 1
Document Revision History
Table 4-118. Document Revision History (Part 3 of 5) Date and Document Version
February 2007 v4.2
Changes Made
Added the "Document Revision History" section to this chapter. Updated Table 4-5: Removed last three lines Removed note 1 Added new note 4
Summary of Changes
Added support information for the Stratix II GX device.
Deleted table 6-6. Replaced Table 4-6 with all new information. Added Figures 4-1 and 4-2. Added Tables 4-7 through 4-19. Removed Figures 6-1 through 6-4. Updated Table 4-22: Changed RCONF information. Updated Table 4-52 SSTL-18 Class I, column 1: changed 25 to 50. Updated: Table 4-54 Table 4-87 Table 4-91 Table 4-94 Updated Tables 4-62 through 4-77 Updated Tables 4-79 and 4-80 Added "units" column Updated Tables 4-83 through 4-86 Changed column title to "Fast Corner Industrial/Commercial". Updated Table 4-109. Added a new line to the bottom of the table. August 2006 v4.1 Update Table 6-75, Table 6-84, and Table 6-90.
4-138 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
DC and Switching Characteristics
Table 4-118. Document Revision History (Part 4 of 5) Date and Document Version
June 2006, v4.0

Changes Made
Updated Table 6-5. Updated Table 6-6. Updated all values in Table 6-7. Added Tables 6-8 and 6-9. Added Figures 6-1 through 6-4. Updated Table 6-18. Updated Tables 6-85 through 6-96. Added Table 6-80, Stratix II GX Maximum Output Clock Rate for Dedicated Clock Pins. Updated Table 6-100. In "I/O Timing Measurement Methodology" section, updated Table 6-42. In "Internal Timing Parameters" section, updated Tables 6-43 through 6-48. In "Stratix II GX Clock Timing Parameters" section, updated Tables 6-50 through 6-65. In "IOE Programmable Delay" section, updated Tables 6-67 and 6-68. In "I/O Delays" section, updated Tables 6-71 through 6-74. In "Maximum Input & Output Clock Toggle Rate" section, updated Tables 6-75 through 6-83. In "DCD Measurement Techniques" section, updated Tables 6-85 through 6-92. In "High-Speed I/O Specifications" section, updated Tables 6-94 through 6-96. In "External Memory Interface Specifications" section, updated Table 6-100.

Summary of Changes
Removed rows for VI D, VO D, VI C M , and VO C M from Table 6-5. Updated values for rx, tx, and refclkb in Table 6-6. Removed table containing 1.2-V PCML I/O information. That information is in Table 6-7. Added values to Table 6-100.
Altera Corporation October 2007
4-139 Stratix II GX Device Handbook, Volume 1
Document Revision History
Table 4-118. Document Revision History (Part 5 of 5) Date and Document Version
April 2006, v3.0

Changes Made
Updated Table 6-3. Updated Table 6-5. Updated Table 6-7. Added Table 6-42. Updated "Internal Timing Parameters" section (Tables 6-43 through 6-48). Updated "Stratix II GX Clock Timing Parameters" section (Tables 6-49 through 6-65). Updated "IOE Programmable Delay" section (Tables 6-67 and 6-68) Updated "I/O Delays" section (Tables 6-71 through 6-74. Updated "Maximum Input & Output Clock Toggle Rate" section. Replaced tables 6-73 and 6-74 with Tables 6-75 through 6-83. Input and output clock rates for row, column, and dedicated clock pins are now in separate tables. Updated Tables 6-4 and 6-5. Updated Tables 6-49 through 6-65 (removed column designations for industrial/commercial and removed industrial numbers).
Summary of Changes

February 2006, v2.1

December 2005, Updated timing numbers. v2.0 October 2005 v1.1

Updated Table 6-7. Updated Table 6-38. Updated 3.3-V PCML information and notes to Tables 6-73 through 6-76. Minor textual changes throughout the document.
October 2005 v1.0
Added chapter to the Stratix II GX Device Handbook.
4-140 Stratix II GX Device Handbook, Volume 1
Altera Corporation October 2007
5. Reference and Ordering Information
SIIGX51007-1.3
Software
Stratix (R) II GX devices are supported by the Altera(R) Quartus(R) II design software, which provides a comprehensive environment for system-on-a-programmable-chip (SOPC) design. The Quartus II software includes HDL and schematic design entry, compilation and logic synthesis, full simulation and advanced timing analysis, SignalTap(R) II logic analyzer, and device configuration.
f
Refer to the Quartus II Development Software Handbook for more information on the Quartus II software features. The Quartus II software supports the Windows XP/2000/NT, Sun Solaris 8/9, Linux Red Hat v7.3, Linux Red Hat Enterprise 3, and HP-UX operating systems. It also supports seamless integration with industry-leading EDA tools through the NativeLink interface.
Device Pin-Outs Ordering Information
f
Stratix II GX device pin-outs (Pin-Out Files for Altera Devices) are available on the Altera web site at www.altera.com. Figure 5-1 describes the ordering codes for Stratix II GX devices.
For more information on a specific package, refer to the Package Information for Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook.
Altera Corporation August 2007
5-1
Referenced Documents
Figure 5-1. Stratix II GX Device Packaging Ordering Information
EP2SGX Family Signature EP2SGX: Stratix II GX 130 G F 40 C 3 ES Optional Suffix Indicates specific device options or shipment method. Engineering sample ES: Lead free N: NES: Lead-free engineering sample Speed Grade 3, 4, or 5, with 3 being the fastest
Device Type 30 60 90 130
Number of Transceiver Channels C: 4 D: 8 E: 12 F: 16 G: 20 Package Type F: FineLine BGA
Operating Temperature C: Commercial temperature (tJ = 0 C to 85 C) I: Industrial temperature (tJ = -40 C to 100 C)
Pin Count 780 1,152 (1) 1,508
Note to Figure 5-1:
(1) Product code notations for ES silicon for all EP2SGX130 family members (standard and lead free) and EP2SGX90 (lead free) use the following codings to denote pin count: 35 for 1152-pin devices and 40 for 1508-pin devices
Referenced Documents
This chapter references the following documents:

Package Information for Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II GX Device Handbook Pin-Out Files for Altera Devices Quartus II Development Software Handbook
Document Revision History
Table 5-1 shows the revision history for this chapter.
Table 5-1. Document Revision History (Part 1 of 2) Date and Document Version
August 2007 v1.3 Minor text edits.
Changes Made
Added the "Referenced Documents" section.
Summary of Changes
5-2 Stratix II GX Device Handbook, Volume 1
Altera Corporation August 2007
Reference and Ordering Information
Table 5-1. Document Revision History (Part 2 of 2) Date and Document Version
February 2007 v1.2 June 2006, v1.1 October 2005 v1.0
Changes Made
Added the "Document Revision History" section.

Summary of Changes
Added support information for the Stratix II GX device.
Updated "Device Pin-Outs" section. Updated Figure 7-1.
Added chapter to the Stratix II GX Device Handbook.
Altera Corporation August 2007
5-3 Stratix II GX Device Handbook, Volume 1
Document Revision History
5-4 Stratix II GX Device Handbook, Volume 1
Altera Corporation August 2007


▲Up To Search▲   

 
Price & Availability of EP2SGX130G

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X